• Главная
  • Apparatus for supplying a process fluid and apparatus for processing a substrate having the same

Apparatus for supplying a process fluid and apparatus for processing a substrate having the same

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Apparatus for substrate processing with fluid

Номер патента: US20090045034A1. Автор: Yi-Cheng Wang. Владелец: Perfect Dynasty Taiwan Ltd. Дата публикации: 2009-02-19.

Methods and apparatus for improving edge cleaning of a substrate

Номер патента: US20070221256A1. Автор: Hui Chen,Donald Olgado,Ho SHIN,Anne-Douce Coulin. Владелец: Applied Materials Inc. Дата публикации: 2007-09-27.

Method and apparatus separate modules for processing a substrate

Номер патента: WO2013112659A1. Автор: Akhlesh Gupta,Chong Lim,Oleh P. Karpenko. Владелец: First Solar, Inc.. Дата публикации: 2013-08-01.

System for Processing Semiconductor Substrate by Using Laser and Method of the Same

Номер патента: US20080015728A1. Автор: Daejin Kim,HyunJung Kim,Jekil Ryu. Владелец: Individual. Дата публикации: 2008-01-17.

Method and apparatus for applying a coating on a substrate

Номер патента: WO1983002910A1. Автор: Edward Bok. Владелец: Edward Bok. Дата публикации: 1983-09-01.

Automatic transfer apparatus for liquid crystal display device and method for sensing obstacle using the same

Номер патента: US20070168071A1. Автор: Hyeon-Seok Kim. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-07-19.

Automatic transfer apparatus for liquid crystal display device and method for sensing obstacle using the same

Номер патента: US7925368B2. Автор: Hyeon-Seok Kim. Владелец: LG Display Co Ltd. Дата публикации: 2011-04-12.

Method and apparatus for characterizing features formed on a substrate

Номер патента: US20070145998A1. Автор: Michael Smayling,Michael Duane,Susie Yang. Владелец: Individual. Дата публикации: 2007-06-28.

Apparatus for delivering semiconductor components to a substrate

Номер патента: MY155118A. Автор: LAM Kui Kam,LAM Wing Kin,TANG Yen Hsi,CHEUNG Wai Yuen. Владелец: Asm Tech Singapore Pte Ltd. Дата публикации: 2015-09-15.

Methods of and apparatus for bonding an article to a substrate

Номер патента: US3946931A. Автор: Norman Bahnck,Raymond H. Booth,John A. Boyer,Jack J. Monahan. Владелец: Western Electric Co Inc. Дата публикации: 1976-03-30.

Method and apparatus for the thermal treatment of a substrate

Номер патента: MY190353A. Автор: Harald Gross. Владелец: Gross Leander Kilian. Дата публикации: 2022-04-15.

Method and apparatus for the thermal treatment of a substrate

Номер патента: US20190208609A1. Автор: Harald Gross. Владелец: Individual. Дата публикации: 2019-07-04.

Substrate processing apparatus and method of cleaning the same

Номер патента: US20190055647A1. Автор: Kook Tae Kim,Bongjin Kuh,In-Sun Yi,Soojin HONG,Sukjin CHUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-02-21.

Transfer robot and substrate processing apparatus having the same

Номер патента: US11769681B2. Автор: Kyung Hee JEON,Dong Sun KO,Hun Hee NA. Владелец: Wonik Ips Co Ltd. Дата публикации: 2023-09-26.

Focus ring and substrate treating apparatus comprising the same

Номер патента: US20210027995A1. Автор: Sang-Kee Lee,Dongmok LEE. Владелец: Semes Co Ltd. Дата публикации: 2021-01-28.

Substrate processing apparatus and substrate processing system having the same

Номер патента: US20230416923A1. Автор: Seung Ho Lee,Kee Jun KIM. Владелец: Wonik Ips Co Ltd. Дата публикации: 2023-12-28.

Apparatus for treating substrate

Номер патента: US20220205718A1. Автор: Sang Min Lee,Seung Hoon Oh,Mi So PARK,Jong Doo Lee. Владелец: Semes Co Ltd. Дата публикации: 2022-06-30.

Methods and apparatus for rapidly cooling a substrate

Номер патента: US10312116B2. Автор: Jallepally Ravi,Steven V. Sansoni,Kirankumar Savandaiah. Владелец: Applied Materials Inc. Дата публикации: 2019-06-04.

Method and apparatus for uniformly baking substrates such as photomasks

Номер патента: US20030124470A1. Автор: J. Rolfson. Владелец: Individual. Дата публикации: 2003-07-03.

Methods and apparatus for identifying thin films on a substrate

Номер патента: TW200913107A. Автор: Ronald Vern Schauer. Владелец: Applied Materials Inc. Дата публикации: 2009-03-16.

Apparatus for treating substrate and method for treating substrate

Номер патента: US11789364B2. Автор: Hyun Min Kim,Young Seo AN. Владелец: Semes Co Ltd. Дата публикации: 2023-10-17.

Apparatus for handling workpieces such as semiconductor substrates

Номер патента: US4002246A. Автор: Georg Brandt,Werner Kolar. Владелец: GEORG MUELLER KUGELLAGERFABRIK KG. Дата публикации: 1977-01-11.

Apparatus for processing substrate and maintain method of processing chamber using the same

Номер патента: KR101208317B1. Автор: 박시현. Владелец: 엘아이지에이디피 주식회사. Дата публикации: 2012-12-05.

Displacement device, particularly for the photolithographic treatment of a substrate

Номер патента: CA1217088A. Автор: Adrianus G. Bouwer,Stefan Wittekoek. Владелец: Stefan Wittekoek. Дата публикации: 1987-01-27.

Apparatus for etching an edge of a substrate and facility with it, and method for treating a substrate

Номер патента: KR100765900B1. Автор: 조중근,안영기. Владелец: 세메스 주식회사. Дата публикации: 2007-10-10.

Method and vessel for storing a substrate cleaning brush

Номер патента: US5881876A. Автор: Fumitake Mieno,Akihiko Morita,Masahiro Nonomura,Naoko Onodera. Владелец: Dainippon Screen Manufacturing Co Ltd. Дата публикации: 1999-03-16.

Apparatus for adjusting posture of panel and panel bonding apparatus having the same

Номер патента: KR101106245B1. Автор: 한동희. Владелец: 한동희. Дата публикации: 2012-01-18.

Apparatus for adjusting balance of panel and panel bonding apparatus having the same

Номер патента: KR101189786B1. Автор: 한동희. Владелец: 한동희. Дата публикации: 2012-10-10.

Apparatus for reducing polymer deposition on a substrate and substrate support

Номер патента: US20080041820A1. Автор: Eric Lenz,Jose Tong. Владелец: Lam Research Corp. Дата публикации: 2008-02-21.

Apparatus for reducing polymer deposition on a substrate and substrate support

Номер патента: US20040083975A1. Автор: Eric Lenz,Jose Tong. Владелец: Lam Research Corp. Дата публикации: 2004-05-06.

METHODS AND APPARATUS FOR ADJUSTING SURFACE TOPOGRAPHY OF A SUBSTRATE SUPPORT APPARATUS

Номер патента: US20210035850A1. Автор: Shirley Paul D.. Владелец: . Дата публикации: 2021-02-04.

Transfer apparatus for test handler transferring semiconductor device and test handler having the same

Номер патента: KR100796196B1. Автор: 이상균,김용선. Владелец: 미래산업 주식회사. Дата публикации: 2008-01-21.

Apparatus for transferring wafer carrier and system for fabricating semiconductor having the same

Номер патента: US20100107975A1. Автор: Kil Ho Jung. Владелец: LG Innotek Co Ltd. Дата публикации: 2010-05-06.

Apparatus for transferring wafer carrier and system for fabricating semiconductor having the same

Номер патента: CN101681864B. Автор: 郑吉浩. Владелец: LG Innotek Co Ltd. Дата публикации: 2012-05-30.

METHOD AND APPARATUS FOR DEPOSITING ATOMIC LAYERS ON A SUBSTRATE

Номер патента: US20180037994A1. Автор: Vermeer Adrianus Johannes Petrus Maria,Roozeboom Freddy,VAN DEELEN Joop. Владелец: . Дата публикации: 2018-02-08.

Method and apparatus for etch passivating and etching a substrate

Номер патента: US6489248B2. Автор: Kwang-Soo Kim,Ruiping Wang,Luke Zhang,Ida Ariani Adisaputro. Владелец: Applied Materials Inc. Дата публикации: 2002-12-03.

Apparatus for bonding a die on a substrate

Номер патента: KR101897825B1. Автор: 문강현. Владелец: 세메스 주식회사. Дата публикации: 2018-09-12.

Atmospheric pressure plasma generator and apparatus for etching an edge of a substrate with the generator

Номер патента: KR100581401B1. Автор: 최용남,김인준. Владелец: 세메스 주식회사. Дата публикации: 2006-05-23.

Apparatus for dividing devices formed on a substrate into a plurality of individual devices

Номер патента: KR100541998B1. Автор: 탁윤흥,배효대,박세표. Владелец: 엘지전자 주식회사. Дата публикации: 2006-01-11.

Apparatus for mounting an element on a substrate

Номер патента: EP1328009A2. Автор: Michael Hoehn,Andreas Kugler,Tobias Gebert,Matthias Woehr. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2003-07-16.

Method and apparatus for treating components mounted on a substrate, in particular semiconductor chips

Номер патента: EP1030349A2. Автор: Gustav Wirz. Владелец: Alphasem Ag. Дата публикации: 2000-08-23.

Methods and apparatus for cleaning an edge of a substrate

Номер патента: TWI362064B. Автор: Liang-Yuh Chen,Wei Yung Hsu,Donald J K Olgado,Seon Shin Ho. Владелец: Applied Materials Inc. Дата публикации: 2012-04-11.

Method and apparatus for attaching solder members to a substrate

Номер патента: US20020106832A1. Автор: Gregory B. Hotchkiss,Gary D. Stevens. Владелец: Texas Instruments Inc. Дата публикации: 2002-08-08.

On the fly center-finding during substrate handling in a processing system

Номер патента: EP1062687A1. Автор: Satish Sundar,Peter F. Ebbing. Владелец: Applied Materials Inc. Дата публикации: 2000-12-27.

Apparatus for treating substrate

Номер патента: US11823914B2. Автор: Daesung Kim,Eunwoo PARK. Владелец: Semes Co Ltd. Дата публикации: 2023-11-21.

Processing apparatus for a substrate and method of driving the same

Номер патента: KR20210004163A. Автор: 박헌용,한소희,한일영,곽노성. Владелец: 삼성전자주식회사. Дата публикации: 2021-01-13.

Methods, systems, and apparatus for tape-frame substrate cleaning and drying

Номер патента: US12087571B2. Автор: YING Wang,Guan Huei See,Gregory J. Wilson. Владелец: Applied Materials Inc. Дата публикации: 2024-09-10.

APPARATUS FOR DEPOSITING A LAYER ON A SUBSTRATE IN A PROCESSING GAS

Номер патента: US20170011951A1. Автор: Weichart Jurgen,Rieschl Sven Uwe,Elghazzali Mohamed. Владелец: . Дата публикации: 2017-01-12.

Batch type apparatus for processing substrates and method of processing the substrate using the same

Номер патента: KR101342990B1. Автор: 김근호. Владелец: (주)소슬. Дата публикации: 2013-12-18.

METHOD, APPARATUS FOR HOLDING AND TREATMENT OF A SUBSTRATE

Номер патента: US20130164939A1. Автор: Santos Rodriguez Francisco Javier,Lackner Gerald,Maier Christian. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2013-06-27.

Method and Apparatus for Preventing the Deformation of a Substrate Supported at its Edge Area

Номер патента: US20160358807A1. Автор: BOGNER Bernhard. Владелец: . Дата публикации: 2016-12-08.

Method and apparatus for forming metal contacts on a substrate

Номер патента: US20060027728A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2006-02-09.

Method and apparatus for forming metal contacts on a substrate

Номер патента: US20050161490A1. Автор: Salman Akram. Владелец: Salman Akram. Дата публикации: 2005-07-28.

Method, apparatus for holding and treatment of a substrate

Номер патента: US20090004824A2. Автор: Gerald Lackner,Christian Maier,Francisco Santos Rodriguez. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2009-01-01.

Method and apparatus for processing surface of a substrate

Номер патента: FI20195816A1. Автор: Mikko SÖDERLUND,Patrick Rabinzohn,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2021-03-26.

Recessed portion in a substrate and method of forming the same

Номер патента: US11886015B2. Автор: Huang-Hsien CHANG,Shao Hsuan CHUANG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2024-01-30.

Method and apparatus for forming a layer on a substrate

Номер патента: US6500315B1. Автор: John C. Arnold,Peter L. G. Ventzek,Dean J. Denning,Valli Arunachalam. Владелец: Motorola Inc. Дата публикации: 2002-12-31.

Recessed portion in a substrate and method of forming the same

Номер патента: US20240168238A1. Автор: Huang-Hsien CHANG,Shao Hsuan CHUANG. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2024-05-23.

Method and apparatus for forming an interconnection through a substrate

Номер патента: WO2010034995A1. Автор: Jiebin Gu,William Thomas Pike. Владелец: IMPERIAL INNOVATIONS LIMITED. Дата публикации: 2010-04-01.

Liquid supply unit, and apparatus and method for processing substrate

Номер патента: US11869778B2. Автор: Buyoung JUNG,Gui Su PARK,Seungtae YANG,Gi Hun CHOI. Владелец: Semes Co Ltd. Дата публикации: 2024-01-09.

Apparatus for adsorbing solder ball and method of attaching solder ball using the same

Номер патента: US20160016247A1. Автор: Eun-Sun AN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-01-21.

Apparatus for the Temperature Control of a Substrate and Corresponding Production Method

Номер патента: US20230148124A1. Автор: Klaus Reitinger. Владелец: ERS electronic GmbH. Дата публикации: 2023-05-11.

Apparatus for the Temperature Control of a Substrate and Corresponding Production Method

Номер патента: US20200388513A1. Автор: Klemens Reitinger. Владелец: ERS electronic GmbH. Дата публикации: 2020-12-10.

Apparatus for cleaning a bowl and a photoresist (pr) coating system including the same

Номер патента: US20220388043A1. Автор: Ansook Sul,Jubong LEE,Kyoungwhan OH. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-12-08.

Method and apparatus for treating substrate

Номер патента: US20160293404A1. Автор: Choongki Min,Min Jung Park,Eun Saem Ahn,Jung Yul Lee. Владелец: Semes Co Ltd. Дата публикации: 2016-10-06.

Method and apparatus for producing silicon nitride film

Номер патента: US20130109154A1. Автор: Seiji Nishikawa,Tadashi Shimazu,Hidetaka Kafuku. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2013-05-02.

Method and apparatus for processing surface of a semiconductor substrate

Номер патента: EP4034691A1. Автор: Mikko SÖDERLUND,Patrick Rabinzohn,Markus Bosund,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2022-08-03.

Method and apparatus for processing surface of a semiconductor substrate

Номер патента: CA3153668A1. Автор: Mikko SÖDERLUND,Patrick Rabinzohn,Markus Bosund,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2021-04-01.

Method and apparatus for processing surface of a semiconductor substrate

Номер патента: WO2021058872A1. Автор: Mikko SÖDERLUND,Patrick Rabinzohn,Markus Bosund,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2021-04-01.

Apparatus for oscillating a head and methods for implementing the same

Номер патента: US7170190B1. Автор: John M. Boyd,John de Larios,Tom Anderson,Randolph E. Treur. Владелец: Lam Research Corp. Дата публикации: 2007-01-30.

Apparatus For Making Interconnect Seed Layers And Products

Номер патента: US20110068470A1. Автор: Uri Cohen. Владелец: Uri Cohen. Дата публикации: 2011-03-24.

Method and apparatus for coating substrate

Номер патента: EP3478871A1. Автор: Leif Keto. Владелец: BENEQ OY. Дата публикации: 2019-05-08.

Method and apparatus for producing silicon nitride film

Номер патента: US8889568B2. Автор: Seiji Nishikawa,Tadashi Shimazu,Hidetaka Kafuku. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2014-11-18.

System and Method for Depositing a Material on a Substrate

Номер патента: US20110132262A1. Автор: Ricky Charles Powell,Andrew Kelly Gray,Todd Alden Coleman. Владелец: First Solar Inc. Дата публикации: 2011-06-09.

Methods and apparatus for polishing a semiconductor wafer

Номер патента: WO2008153782A2. Автор: John C Thomas,Gregory Eisenstock. Владелец: CORNING INCORPORATED. Дата публикации: 2008-12-18.

Methods and apparatus for attaching a die to a substrate

Номер патента: EP1761950A2. Автор: Jon B. DCamp,Harlan L. Curtis,Max C. Glen,Lori A. Dunaway. Владелец: Honeywell International Inc. Дата публикации: 2007-03-14.

Method of forming an electrode on a substrate of a semiconductor device

Номер патента: US6048783A. Автор: Hye-Young Kim,Kyo-Hoo Moon. Владелец: LG LCD Co Ltd. Дата публикации: 2000-04-11.

Method and apparatus for rapid thermal processing (rtp) of semiconductor wafers

Номер патента: WO2002003438A1. Автор: Rahul Sharangpani,Sing-Pin Tay. Владелец: Mattson Thermal Products Inc.. Дата публикации: 2002-01-10.

METHODS AND APPARATUS FOR A 3D ARRAY INSIDE A SUBSTRATE TRENCH

Номер патента: US20170148812A1. Автор: Hsu Fu-Chang. Владелец: . Дата публикации: 2017-05-25.

Method and apparatus for forming a film on a substrate

Номер патента: US7309662B1. Автор: John MacNeil,Katherine Giles,Knut Beekmann,Christopher David Dobson,Antony Paul Wilby. Владелец: Aviza Europe Ltd. Дата публикации: 2007-12-18.

Method and apparatus for washing both surfaces of a substrate

Номер патента: KR100341010B1. Автор: 유지 마쓰야마,아키라 요네미즈. Владелец: 동경 엘렉트론 주식회사. Дата публикации: 2002-11-29.

Methods and apparatus for improving microloading while etching a substrate

Номер патента: IL133722A0. Автор: . Владелец: Lam Res Corp. Дата публикации: 2001-04-30.

Apparatus for forming a film on a substrate

Номер патента: US6416584B1. Автор: Seok-jun Won,Young-Wook Park,Yong-woo Hyung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-07-09.

Methods and apparatus for improving microloading while etching a substrate

Номер патента: EP0993685A1. Автор: Susan C. Abraham. Владелец: Lam Research Corp. Дата публикации: 2000-04-19.

Apparatus for depositing thin film on a substrate

Номер патента: KR100487556B1. Автор: 박인성,김성태,이윤정,박기연,김영선,임기빈,여재현. Владелец: 삼성전자주식회사. Дата публикации: 2005-05-03.

Method and apparatus for preventing P1 punchthrough

Номер патента: US6066873A. Автор: Yuesong He,Kent Kuohua Chang,John J. Wang. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-05-23.

Apparatus for depositing material layers on a substrate

Номер патента: WO2024132366A1. Автор: Lászlo PETHÖ,Carlos Guerra,Johann Michler. Владелец: Swiss Cluster Ag. Дата публикации: 2024-06-27.

Apparatus for depositing material layers on a substrate

Номер патента: EP4389929A1. Автор: Lászlo PETHÖ,Carlos Guerra,Johann Michler. Владелец: Swiss Cluster Ag. Дата публикации: 2024-06-26.

Apparatus for applying thin layers to a substrate

Номер патента: US20020157945A1. Автор: Joachim Szczyrbowski,Götz Teschner,Jürgen Bruch. Владелец: Unaxis Deutschland Holding GmbH. Дата публикации: 2002-10-31.

Method and apparatus for the partial coating of a substrate by cathode sputtering

Номер патента: US4354910A. Автор: Horst Rordorf. Владелец: Berna AG Olten. Дата публикации: 1982-10-19.

Apparatus for determining and/or monitoring a process variable

Номер патента: US20100309600A1. Автор: Wolfgang Brutschin,Klaus Ruf. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2010-12-09.

Apparatus for determining and/or monitoring a process variable

Номер патента: US8300380B2. Автор: Wolfgang Brutschin,Klaus Ruf. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2012-10-30.

Heat exchange apparatus for cooling water of fuel cell and fuel cell system including the same

Номер патента: US20180166710A1. Автор: Sung Wook Na,Nam Woo Lee,Hyuck Roul Kwon. Владелец: Kia Motors Corp. Дата публикации: 2018-06-14.

A method and apparatus for depositing a coating onto a substrate

Номер патента: EP2037000A3. Автор: Torbjorn Selinder,Markus Rodmar. Владелец: Sandvik Intellectual Property AB. Дата публикации: 2009-05-13.

Heat exchange apparatus for cooling water of fuel cell and fuel cell system including the same

Номер патента: US20220393194A1. Автор: Sung Wook Na,Nam Woo Lee,Hyuck Roul Kwon. Владелец: Kia Corp. Дата публикации: 2022-12-08.

Heat exchange apparatus for cooling water of fuel cell and fuel cell system including the same

Номер патента: US20200161676A1. Автор: Sung Wook Na,Nam Woo Lee,Hyuck Roul Kwon. Владелец: Kia Motors Corp. Дата публикации: 2020-05-21.

Heat exchange apparatus for cooling water of fuel cell and fuel cell system including the same

Номер патента: US11777112B2. Автор: Sung Wook Na,Nam Woo Lee,Hyuck Roul Kwon. Владелец: Kia Corp. Дата публикации: 2023-10-03.

Deposition apparatus for both lateral portions of substrate

Номер патента: US11186907B2. Автор: Kun Ho Song. Владелец: Tetos Co Ltd. Дата публикации: 2021-11-30.

Method for making a semiconductor and apparatus for the same

Номер патента: GB2272995A. Автор: Byung Chul Ahn. Владелец: Gold Star Co Ltd. Дата публикации: 1994-06-01.

Method and apparatus for cascade control using integrated metrology

Номер патента: EP1451867A2. Автор: Alexander J. Pasadyn,Christopher A. Bode. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-09-01.

Method and apparatus for cascade control using integrated metrology

Номер патента: WO2003038888A2. Автор: Alexander J. Pasadyn,Christopher A. Bode. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2003-05-08.

Deposition apparatus for both lateral portions of substrate

Номер патента: US20210079516A1. Автор: Kun Ho Song. Владелец: Tetos Co Ltd. Дата публикации: 2021-03-18.

Apparatus for monitoring process chamber

Номер патента: US10229818B2. Автор: Protopopov Vladimir,Ki Ho Hwang,Se Jin Oh,Doug Yong SUNG,Kul INN,Yun Kwang Jeon,Sung Ho Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-03-12.

Apparatus for monitoring process chamber

Номер патента: US20170213710A1. Автор: Protopopov Vladimir,Ki Ho Hwang,Se Jin Oh,Doug Yong SUNG,Kul INN,Yun Kwang Jeon,Sung Ho Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-27.

Thermocompression Bonding Method And Apparatus For Mounting Semiconductor Chips On A Substrate

Номер патента: US20140175159A1. Автор: Kostner Hannes. Владелец: Besi Switzerland AG. Дата публикации: 2014-06-26.

Apparatus for the Temperature Control of a Substrate and Corresponding Production Method

Номер патента: US20200388513A1. Автор: Klemens Reitinger. Владелец: ERS electronic GmbH. Дата публикации: 2020-12-10.

Process and apparatus for removing a contaminant from a substrate

Номер патента: US6953654B2. Автор: Nicholas A. Ryza,Allan W. Awtrey. Владелец: Tokyo Electron Ltd. Дата публикации: 2005-10-11.

PLASMA DEPOSITION APPARATUS FOR FORMING A FILM ON A SUBSTRATE

Номер патента: FR2481838A1. Автор: Seitaro Matsuo,Hideo Yoshihara,Shinichi Yamazaki. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1981-11-06.

Method and apparatus for liquid-treating and drying a substrate

Номер патента: EP1168422A3. Автор: Marc Meuris,Paul Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2006-03-22.

Method and apparatus for measuring a bump on a substrate

Номер патента: EP2058623A3. Автор: Higashi Mitsutoshi,Kei Murayama. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2009-12-02.

Method and apparatus for liquid-treating and drying a substrate

Номер патента: US20020016082A1. Автор: Marc Meuris,Paul Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2002-02-07.

Method and apparatus for printing a pattern on a substrate

Номер патента: EP2704204A1. Автор: Marco Galiazzo,Alessandro VOLTAN,Alessandro Casarin. Владелец: Applied Materials Italia Srl. Дата публикации: 2014-03-05.

Apparatus for spreading a fluid across a substrate and method of using the same

Номер патента: CA2918356C. Автор: David Stewart,Daniel CAMPTON,Joshua Nordberg,Steven QUARRE. Владелец: Rarecyte Inc. Дата публикации: 2022-08-23.

Apparatus for spreading a fluid across a substrate and method of using the same

Номер патента: US20160076979A1. Автор: David Stewart,Daniel CAMPTON,Joshua Nordberg,Steve QUARRE. Владелец: Rarecyte Inc. Дата публикации: 2016-03-17.

Apparatus for spreading a fluid across a substrate and method of using the same

Номер патента: US20150323428A1. Автор: David Stewart,Daniel CAMPTON,Steve QUARRE,Joshua J. NORDBERG. Владелец: Rarecyte Inc. Дата публикации: 2015-11-12.

High conductance inner shield for process chamber

Номер патента: US12100577B2. Автор: Kang Zhang,Yuichi Wada,Ananthkrishna Jupudi,Yueh Sheng Ow,Junqi Wei,Sarath Babu,Kelvin Boh. Владелец: Applied Materials Inc. Дата публикации: 2024-09-24.

Method and apparatus for coating a substrate

Номер патента: WO1995021276A1. Автор: Roderick Boswell,David MacKenzie,Antoine Durandet. Владелец: Sydney University. Дата публикации: 1995-08-10.

Apparatus and method for processing, coating or curing a substrate

Номер патента: US20200263298A1. Автор: Alexander John Topping,James Tiw SHIPMAN,Robert William JARMAN. Владелец: Camvac Ltd. Дата публикации: 2020-08-20.

Apparatus and method for processing, coating or curing a substrate

Номер патента: CA3077321A1. Автор: Alexander John Topping,James Tiw SHIPMAN,Robert William JARMAN. Владелец: Camvac Ltd. Дата публикации: 2019-04-04.

Apparatus and method for processing, coating or curing a substrate

Номер патента: EP3688787A1. Автор: Alexander John Topping,James Tiw SHIPMAN,Robert William JARMAN. Владелец: Camvac Ltd. Дата публикации: 2020-08-05.

Method and apparatus for reducing substrate charging damage

Номер патента: WO2004051710A3. Автор: Paul Moroz. Владелец: Tokyo Electron Ltd. Дата публикации: 2005-05-19.

Support unit, substrate treating apparatus including the same, and method for treating a substrate

Номер патента: US10153137B2. Автор: Sun Wook Jung,Seok Won HWANG,Kisang Eum. Владелец: Semes Co Ltd. Дата публикации: 2018-12-11.

Apparatus for monitoring processing of a substrate

Номер патента: US6129807A. Автор: Shaoher X. Pan,Michael Grimbergen. Владелец: Applied Materials Inc. Дата публикации: 2000-10-10.

Process and apparatus for the manufacture of sputtering targets

Номер патента: CA2556786C. Автор: Maher I. Boulos,Jerzy W. Jurewicz. Владелец: Tekna Plasma Systems Inc. Дата публикации: 2012-07-24.

An apparatus for atomic layer deposition

Номер патента: EP3414357A1. Автор: Mikko SÖDERLUND,Pekka Soininen,Paavo Timonen. Владелец: BENEQ OY. Дата публикации: 2018-12-19.

Defining a pattern on a substrate

Номер патента: US20050280792A1. Автор: Gerhard Meyer,Reto Schlittler,Hanspeter Ott,Percy Zahl. Владелец: International Business Machines Corp. Дата публикации: 2005-12-22.

Defining a pattern on a substrate

Номер патента: US20080074656A1. Автор: Gerhard Meyer,Reto Schlittler,Hanspeter Ott,Percy Zahl. Владелец: Individual. Дата публикации: 2008-03-27.

Positioning apparatus for mobile apparatus and battery production line

Номер патента: US20240253680A1. Автор: Yang Li,Zhiqiang Sun,Hua Huang,Fanke CHEN. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2024-08-01.

Visualization Apparatus for Transparent PEMFC

Номер патента: US20110104524A1. Автор: Joongmyeon Bae,Dong-ryul Lee. Владелец: Korea Advanced Institute of Science and Technology KAIST. Дата публикации: 2011-05-05.

Apparatus for manufacturing secondary battery pouch

Номер патента: EP4234195A1. Автор: Se Young Oh,Chung Hee Lee,Jeong Min Ha,Geun Hee Kim,Hyun Beom Kim,Hyung Ho Kwon. Владелец: LG Energy Solution Ltd. Дата публикации: 2023-08-30.

Apparatus for manufacturing pouch of secondary battery

Номер патента: EP4238739A1. Автор: Se Young Oh,Chung Hee Lee,Geun Hee Kim. Владелец: LG Energy Solution Ltd. Дата публикации: 2023-09-06.

Apparatus for connecting between substrates

Номер патента: US20040222535A1. Автор: Hirotada Takahashi,Masao Kawata,Chikaomi Mori,Kenichi Nojiri. Владелец: Individual. Дата публикации: 2004-11-11.

Electromagnetic dielectric structure adhered to a substrate and methods of making the same

Номер патента: GB202018311D0. Автор: . Владелец: Rogers Corp. Дата публикации: 2021-01-06.

Antenna apparatus for electronic device

Номер патента: US20130321217A1. Автор: Joon-Ho Byun,Dong-Hyun Lee,Seong-Tae Jeong,Cheol-Hong SON,Yong-Soo Kwak,Kyung-Kyun KANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-12-05.

Apparatus for stacking and system for producing electrodes of battery having the same

Номер патента: KR102273326B1. Автор: 정연길. Владелец: 유일에너테크(주). Дата публикации: 2021-07-06.

Improvements in apparatus for displaying optical images

Номер патента: GB578108A. Автор: . Владелец: Individual. Дата публикации: 1946-06-14.

METHOD AND APPARATUS FOR THE THERMAL TREATMENT OF A SUBSTRATE

Номер патента: US20190208609A1. Автор: Gross Harald. Владелец: . Дата публикации: 2019-07-04.

Apparatus For Heating And Processing A Substrate

Номер патента: US20160329190A1. Автор: Evans Morgan D.,Moradian Ala,LISCHER D. Jeffrey,Schaller Jason M.. Владелец: . Дата публикации: 2016-11-10.

A method and apparatus for depositing a coating onto a substrate

Номер патента: CN101368260A. Автор: 托尔比约恩·塞林德,马库斯·罗德马尔. Владелец: Sandvik Intellectual Property AB. Дата публикации: 2009-02-18.

Film forming apparatus for filling fine pores of a substrate

Номер патента: TW338187B. Автор: Yoshiyuki Kadokura,Hisaharu Ohinata,Tetsuji Kiyoda,Satoshi Toyota. Владелец: Ulvac Corp. Дата публикации: 1998-08-11.

Method and apparatus for carbon fiber fixed on a substrate

Номер патента: KR100531165B1. Автор: 사이토야스유키,이시쿠라준리. Владелец: 캐논 가부시끼가이샤. Дата публикации: 2005-11-29.

Method and apparatus for thin film deposition on a substrate by plasma vapor sputtering

Номер патента: IL43966A. Автор: . Владелец: Coulter Information Systems. Дата публикации: 1976-12-31.

Mounting apparatus for a connector assembly to a substrate

Номер патента: TW492584U. Автор: Igor Grois,Jeffrey A Matasek,Wen-Zong Chen. Владелец: Molex Inc. Дата публикации: 2002-06-21.

APPARATUS FOR SPREADING A FLUID ACROSS A SUBSTRATE AND METHOD OF USING THE SAME

Номер патента: US20150056695A1. Автор: Stewart David,Nordberg Joshua,Quarre Steve,Campton Daniel. Владелец: RareCyte, Inc.. Дата публикации: 2015-02-26.

APPARATUS FOR SPREADING A FLUID ACROSS A SUBSTRATE AND METHOD OF USING THE SAME

Номер патента: US20160076979A1. Автор: Stewart David,Nordberg Joshua,Quarre Steve,Campton Daniel. Владелец: RareCyte, Inc.. Дата публикации: 2016-03-17.

APPARATUS FOR SPREADING A FLUID ACROSS A SUBSTRATE AND METHOD OF USING THE SAME

Номер патента: US20180238778A1. Автор: Stewart David,Nordberg Joshua,Quarre Steve,Campton Daniel. Владелец: . Дата публикации: 2018-08-23.

APPARATUS FOR SPREADING A FLUID ACROSS A SUBSTRATE AND METHOD OF USING THE SAME

Номер патента: US20150323428A1. Автор: Stewart David,Quarre Steve,Nordberg Joshua J.,Campton Daniel. Владелец: RareCyte, Inc.. Дата публикации: 2015-11-12.

Apparatus for spreading a fluid across a substrate and method of using the same

Номер патента: US9222857B2. Автор: David Stewart,Daniel CAMPTON,Joshua Nordberg,Steve QUARRE. Владелец: Rarecyte Inc. Дата публикации: 2015-12-29.

Anti-sneak shooting apparatus for a communication device with shooting function and a system for the same

Номер патента: US20220139135A1. Автор: LIN Li. Владелец: Ningbo Signatronic Technologies Ltd. Дата публикации: 2022-05-05.

Method and Apparatus For Accurately Applying Structures to a Substrate

Номер патента: US20080158282A1. Автор: Peter BRIËR,Cornelis Petrus Du Pau,Marinus Franciscus J. Evers. Владелец: OTB Group BV. Дата публикации: 2008-07-03.

Apparatus for measuring the height of a substrate in a dispensing system

Номер патента: US6093251A. Автор: Gregory L. Carr,William Cavallaro. Владелец: Speedline Technologies Inc. Дата публикации: 2000-07-25.

Apparatus for determining and/or monitoring a process variable of a medium

Номер патента: CA2742228C. Автор: Armin Wernet. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2017-09-19.

Anti-sneak shooting apparatus for a communication device with shooting function and a system for the same

Номер патента: US11830304B2. Автор: LIN Li. Владелец: Ningbo Signatronic Technologies Ltd. Дата публикации: 2023-11-28.

Navigation apparatus for vehicle, method of updating map data thereof and vehicle using the same

Номер патента: US20200072621A1. Автор: Yong Ho Lee. Владелец: Kia Motors Corp. Дата публикации: 2020-03-05.

Die attach methods and apparatus for micro-fluid ejection device

Номер патента: US20060213060A1. Автор: Craig Bertelsen,Sean Weaver,Paul Spivey,Melissa Waldeck,Mary Smoot. Владелец: Lexmark International Inc. Дата публикации: 2006-09-28.

Method and apparatus for printing patterns on substrates

Номер патента: US20020118345A1. Автор: Oz Cabiri,Hai Benron. Владелец: Kodak IL Ltd. Дата публикации: 2002-08-29.

Method and apparatus for adjusting output bit rate of video packet

Номер патента: US7623470B2. Автор: Young-Hun Joo,Han-sang Kim,Yong-Deok Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-11-24.

Method and apparatus for deblocking of motion compensated pictures

Номер патента: WO2006007309A1. Автор: Jill Macdonald Boyce,Cristina Gomila,Joan Llach. Владелец: Thomson Research Funding Corporation. Дата публикации: 2006-01-19.

High-frequency heating apparatus for progressive die and high-frequency heating method using the same

Номер патента: US20180236524A1. Автор: Young Seck NA. Владелец: Korea Precision Co Ltd. Дата публикации: 2018-08-23.

Methods and apparatus for configuring a flex ethernet node

Номер патента: EP3729735A1. Автор: Jun Deng,Zhenning Zhao. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2020-10-28.

Moving device and apparatus for supplying chemical liquid having a moving device

Номер патента: US11858119B2. Автор: Eonseok Lee,Boramchan Sung. Владелец: Semes Co Ltd. Дата публикации: 2024-01-02.

Methods and apparatus for parametric estimation in a multiple antenna communication system

Номер патента: EP1813033A1. Автор: Xiaowen Wang,Syed Aon Mujtaba,Kai Roland Kriedte. Владелец: Agere Systems LLC. Дата публикации: 2007-08-01.

Methods and apparatus for resistive loads imitation

Номер патента: RU2476040C2. Автор: Игорь А. ЛИЗ. Владелец: Филипс Солид-Стейт Лайтинг Солюшнз, Инк. Дата публикации: 2013-02-20.

Methods and apparatus for delivering content

Номер патента: US11770430B2. Автор: Robert Skog,Chris Mcevilly. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2023-09-26.

Methods and apparatus for delivering content

Номер патента: US20200366725A1. Автор: Robert Skog,Chris Mcevilly. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2020-11-19.

Apparatus for mounting chip type circuit elements on printed circuit boards

Номер патента: CA1201881A. Автор: Kenichi Takahashi,Shuichi Tando,Kotaro Harigane,Hirokazu Shudo. Владелец: TDK Corp. Дата публикации: 1986-03-18.

Method and apparatus for processing overlapping pucch time domain resources

Номер патента: EP4369741A1. Автор: NA Li. Владелец: Vivo Mobile Communication Co Ltd. Дата публикации: 2024-05-15.

Motor control apparatus and vehicle having the same

Номер патента: US20230344374A1. Автор: Kyoung-Jin Chang. Владелец: Kia Corp. Дата публикации: 2023-10-26.

Method and apparatus for processing flexible duplex

Номер патента: EP3132557A1. Автор: Shichang Zhang,Yingyang Li,Chengjun SUN,Jingxing FU. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-22.

A further improved method and apparatus for image compression

Номер патента: EP3777187A1. Автор: David Scott Taubman. Владелец: Kakadu R&D Pty Ltd. Дата публикации: 2021-02-17.

A Further Improved Method and Apparatus for Image Compression

Номер патента: AU2023241351A1. Автор: David Scott Taubman. Владелец: Kakadu R&D Pty Ltd. Дата публикации: 2023-10-26.

Processing module, control method, and electronic system utilizing the same

Номер патента: US20080267285A1. Автор: Yuan-Chung Lee. Владелец: MediaTek Inc. Дата публикации: 2008-10-30.

Apparatus for scanning input signals in wireless telephone and method of doing the same

Номер патента: AU752744B2. Автор: Tomoaki Hokao. Владелец: NEC Corp. Дата публикации: 2002-09-26.

Method and apparatus for detecting and handling evil twin access points

Номер патента: US11863985B2. Автор: Scott Elliott,Jay Lindenauer. Владелец: WatchGuard Technologies Inc. Дата публикации: 2024-01-02.

Method and apparatus for detecting and handling evil twin access points

Номер патента: US11863984B2. Автор: Scott Elliott,Jay Lindenauer. Владелец: WatchGuard Technologies Inc. Дата публикации: 2024-01-02.

Method and apparatus for encoding based on a linear block code

Номер патента: US7969332B2. Автор: Ying Xu,Shaofang Wang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2011-06-28.

Method and apparatus for encoding based on a linear block code

Номер патента: US20120068864A1. Автор: Ying Xu,Shaofang Wang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2012-03-22.

Method and apparatus for encoding based on a linear block code

Номер патента: US8692697B2. Автор: Ying Xu,Shaofang Wang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2014-04-08.

Apparatus for causing processors to execute a process in a parallel manner

Номер патента: US9749939B2. Автор: Daisuke Sakai. Владелец: Fujitsu Ltd. Дата публикации: 2017-08-29.

Method and apparatus for exchanging ip packets among network layer 2 peers

Номер патента: EP2982097A1. Автор: SURESH KRISHNAN,Geoffrey Lefebvre. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2016-02-10.

Recording system and apparatus including geofencing

Номер патента: US20170115399A1. Автор: Ronald Paul COTE,John GUIMOND. Владелец: INVISIBLE INTELLIGENCE LLC. Дата публикации: 2017-04-27.

Recording system and apparatus including geofencing

Номер патента: US20190219702A1. Автор: Ronald Paul COTE,John GUIMOND. Владелец: INVISIBLE INTELLIGENCE LLC. Дата публикации: 2019-07-18.

Method and apparatus for storing/reproducing transport stream, and digital receiver using the same

Номер патента: US20050152405A1. Автор: Yoon Yang. Владелец: LG ELECTRONICS INC. Дата публикации: 2005-07-14.

Fast and Reliable Data Error Correction Methods and Apparatuses

Номер патента: US20240220362A1. Автор: Anthony Mai. Владелец: Individual. Дата публикации: 2024-07-04.

Apparatus for driving a light source and light source apparatus having the same

Номер патента: KR101563208B1. Автор: 양병춘,김기철,변상철,예병대. Владелец: 삼성디스플레이 주식회사. Дата публикации: 2015-10-28.

Image display apparatus and method for operating the same

Номер патента: US9398339B2. Автор: Kwangsoo Choi,Myongwon Suh,Jihe Suk,Hyojung OH,Haneul LEE,Jimin Heo. Владелец: LG ELECTRONICS INC. Дата публикации: 2016-07-19.

Method and apparatus for autonomous transmission

Номер патента: US11838795B2. Автор: Joonkui Ahn,Suckchel Yang,Seonwook Kim. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-12-05.

Methods and apparatus for processing media content

Номер патента: WO2022017889A1. Автор: Douglas Williams,Martin Trimby,Ian Kegel. Владелец: BRITISH TELECOMMUNICATIONS PUBLIC LIMITED COMPANY. Дата публикации: 2022-01-27.

Methods and apparatus for processing media content

Номер патента: US20230300389A1. Автор: Douglas Williams,Martin Trimby,Ian Kegel. Владелец: British Telecommunications plc. Дата публикации: 2023-09-21.

Methods and apparatus for processing media content

Номер патента: EP4183139A1. Автор: Douglas Williams,Martin Trimby,Ian Kegel. Владелец: British Telecommunications plc. Дата публикации: 2023-05-24.

Method of decoding moving picture, apparatus for decoding moving picture and system-on-a-chip having the same

Номер патента: KR100681242B1. Автор: 박현상. Владелец: 삼성전자주식회사. Дата публикации: 2007-02-09.

Apparatus for driving a touch pad and a portable terminal having the same

Номер патента: CN101105712A. Автор: 全载馨,金学相,朴锡孝. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-01-16.

Apparatus for providing power, backlight assembly and liquid crystal display having the same

Номер патента: KR100892584B1. Автор: 김준희,강문식,유형석. Владелец: (주)애크론 정보통신. Дата публикации: 2009-04-08.

Apparatus for controlling power generation and solar power generation system having the same

Номер патента: KR101550589B1. Автор: 김대호. Владелец: 주식회사 대경산전. Дата публикации: 2015-09-09.

Apparatus for play/record of broadcasting signal, and pportable terminal having the same

Номер патента: KR100892466B1. Автор: 강원중,이을호. Владелец: (주)케이티에프테크놀로지스. Дата публикации: 2009-04-10.

Method and apparatus for providing data based Near Field Communication, and system having the same

Номер патента: KR101506883B1. Автор: 김형태. Владелец: 주식회사 이노다임. Дата публикации: 2015-03-30.

Apparatus for detecting leakage gas, Method thereof, and Storage medium having the same

Номер патента: KR20190042359A. Автор: 김태원,손홍철. Владелец: 한국전력공사. Дата публикации: 2019-04-24.

Apparatus for charging of mobile terminal approaching a vehicle, system having the same and method thereof

Номер патента: KR102496645B1. Автор: 박세영,정용석. Владелец: 기아 주식회사. Дата публикации: 2023-02-07.

Threat detection for a processing system of a motor vehicle

Номер патента: EP4277202A1. Автор: Prashanth Ram Kurumbudel. Владелец: ELEKTROBIT AUTOMOTIVE GMBH. Дата публикации: 2023-11-15.

Method and apparatus for processing image signal

Номер патента: US20210337201A1. Автор: Jaehyun LIM,Moonmo KOO,Seunghwan Kim,Mehdi SALEHIFAR. Владелец: LG ELECTRONICS INC. Дата публикации: 2021-10-28.

Systems, methods, and apparatuses for processing video

Номер патента: US20230362377A1. Автор: Alexander GILADI,Dan Grois. Владелец: COMCAST CABLE COMMUNICATIONS LLC. Дата публикации: 2023-11-09.

Systems, Methods, And Apparatuses For Processing Video

Номер патента: US20200107047A1. Автор: Alexander GILADI,Dan Grois. Владелец: COMCAST CABLE COMMUNICATIONS LLC. Дата публикации: 2020-04-02.

Systems, methods, and apparatuses for processing video

Номер патента: US20240015338A1. Автор: Alexander GILADI,Dan Grois. Владелец: COMCAST CABLE COMMUNICATIONS LLC. Дата публикации: 2024-01-11.

Systems, methods, and apparatuses for processing video

Номер патента: US11792439B2. Автор: Alexander GILADI,Dan Grois. Владелец: COMCAST CABLE COMMUNICATIONS LLC. Дата публикации: 2023-10-17.

Method and apparatus for processing image signal

Номер патента: US11589051B2. Автор: Jaehyun LIM,Moonmo KOO,Seunghwan Kim,Mehdi SALEHIFAR. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-02-21.

Method and apparatus for processing image signal

Номер патента: US11818352B2. Автор: Jaehyun LIM,Moonmo KOO,Seunghwan Kim,Mehdi SALEHIFAR. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-11-14.

Method and apparatus for processing requests for recording content

Номер патента: US20180103294A1. Автор: Brian Duane CLEVENGER. Владелец: Thomson Licensing DTV SAS. Дата публикации: 2018-04-12.

Method and apparatus for processing requests for recording content

Номер патента: US20160249101A1. Автор: Brian Duane CLEVENGER. Владелец: Thomson Licensing SAS. Дата публикации: 2016-08-25.

Method and apparatus for processing requests for recording content

Номер патента: EP2759143A1. Автор: Brian Duane CLEVENGER. Владелец: Thomson Licensing SAS. Дата публикации: 2014-07-30.

Method and apparatus for processing requests for recording content

Номер патента: WO2013043821A1. Автор: Brian Duane CLEVENGER. Владелец: THOMSON LICENSING. Дата публикации: 2013-03-28.

Method and apparatus for spectrum spreading by temporal dithering of pulsed signals

Номер патента: WO2009136317A1. Автор: Ian Ashdown. Владелец: U.S. Philips Corporation. Дата публикации: 2009-11-12.

Method and apparatus for processing video signal

Номер патента: EP3723374A1. Автор: Jaehyun LIM,Moonmo KOO,Seunghwan Kim,Mehdi SALEHIFAR. Владелец: LG ELECTRONICS INC. Дата публикации: 2020-10-14.

Method and apparatus for processing image signal

Номер патента: US20230164319A1. Автор: Jaehyun LIM,Moonmo KOO,Seunghwan Kim,Mehdi SALEHIFAR. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-05-25.

Method and apparatus for processing image signal

Номер патента: US20240031573A1. Автор: Jaehyun LIM,Moonmo KOO,Seunghwan Kim,Mehdi SALEHIFAR. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-01-25.

Systems, methods, and apparatuses for video processing

Номер патента: US20240040153A1. Автор: David Leach,Douglas Bay. Владелец: COMCAST CABLE COMMUNICATIONS LLC. Дата публикации: 2024-02-01.

METHOD AND APPARATUS FOR ELECTROPLATING A METAL ONTO A SUBSTRATE

Номер патента: US20180010258A1. Автор: BRÜGGMANN Horst,Fujiwara Toshia,Herold Roland,Schiwon Thomas. Владелец: ATOTECH DEUTSCHLAND GMBH. Дата публикации: 2018-01-11.

METHOD AND APPARATUS FOR ELECTROPLATING A METAL ONTO A SUBSTRATE

Номер патента: US20200080217A1. Автор: BRÜGGMANN Horst,Fujiwara Toshia,Herold Roland,Schiwon Thomas. Владелец: ATOTECH DEUTSCHLAND GMBH. Дата публикации: 2020-03-12.

APPARATUS FOR CONTROLLING ROTATING ELECTRIC MACHINE AND ELECTRICALLY-POWERED STEERING APPARATUS USING THE SAME

Номер патента: US20170359008A1. Автор: KANO Ryo. Владелец: . Дата публикации: 2017-12-14.

Method and apparatus for depositing a pattern on a substrate

Номер патента: US8691667B1. Автор: Matthew Stainer,Charles Douglas Macpherson,Dennis Damon Walker. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2014-04-08.

Method and apparatus for measuring the height of a substrate in a dispensing system

Номер патента: CA2281682A1. Автор: Gregory L. Carr,William Cavallaro. Владелец: Individual. Дата публикации: 1998-08-27.

METHOD AND APPARATUS FOR GRINDING CONDUCTIVE LINES ON A SUBSTRATE

Номер патента: FR2755151B1. Автор: Abdelkrim Tatah. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2003-07-04.

Method and apparatus for applying particulate material to a substrate

Номер патента: US20050233071A1. Автор: Paul Fung,Denis Tremblay. Владелец: Individual. Дата публикации: 2005-10-20.

Method and apparatus for measuring the height of a substrate in a dispensing system

Номер патента: WO1998037747A1. Автор: Gregory L. Carr,William Cavallaro. Владелец: Speedline Technologies, Inc.. Дата публикации: 1998-08-27.

Method and apparatus for accurately applying structures to a substrate

Номер патента: TW200610465A. Автор: Peter BRIËR,Marinus Franciscus Johanus Evers,Pau Cornelis Du. Владелец: OTB Group BV. Дата публикации: 2006-03-16.

Apparatus for generating electricity

Номер патента: US20110234048A1. Автор: Kohji Toda. Владелец: Individual. Дата публикации: 2011-09-29.

Methods and apparatus for delivering content

Номер патента: EP3729784A1. Автор: Robert Skog,Chris Mcevilly. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2020-10-28.

Method of and apparatus for inserting terminal pins in a substrate

Номер патента: GB1600550A. Автор: . Владелец: Pye Electronic Products Ltd. Дата публикации: 1981-10-21.

Method and apparatus for talking face video compression

Номер патента: WO2024083100A1. Автор: YAN Ye,Zhao Wang,Shiqi Wang,Bolin Chen. Владелец: Alibaba Damo (Hangzhou) Technology Co., Ltd.. Дата публикации: 2024-04-25.

Method and apparatus for talking face video compression

Номер патента: US20240146963A1. Автор: YAN Ye,Zhao Wang,Shiqi Wang,Bolin Chen. Владелец: Alibaba Damo Hangzhou Technology Co Ltd. Дата публикации: 2024-05-02.

Methods and apparatus for delivering content

Номер патента: US20240015195A1. Автор: Robert Skog,Chris Mcevilly. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2024-01-11.

Methods and apparatus for configuring a flex ethernet node

Номер патента: EP3729734A1. Автор: Jun Deng. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2020-10-28.

Digital signal processing apparatus for reproducing optical disc data and optical disc player having the same

Номер патента: US20010054169A1. Автор: Tae-Shik Joo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-12-20.

A method and apparatus for applying a coating on a substrate

Номер патента: EP1907131A1. Автор: Rosita Persoons,Eric Geerinckx,Jan Gedopt. Владелец: Vlaamse Instelling Voor Technologish Onderzoek NV VITO. Дата публикации: 2008-04-09.

Fluid-driven apparatus for feeding a fabric in a process tumbler

Номер патента: EP3303677A1. Автор: Massimo Biancalani,Riccardo Ravagli. Владелец: Biancalani Srl. Дата публикации: 2018-04-11.

Method and apparatus for positioning and processing a web

Номер патента: US20240262645A1. Автор: Peter Reinders. Владелец: Schmale Holding GmbH and Co. Дата публикации: 2024-08-08.

Method and apparatus for the removal of volatile elements from process fluids

Номер патента: CA2691567C. Автор: Marcus Brian Mayhall Fenton,Stevenson Alan Peter DAWSON. Владелец: PURSUIT DYNAMICS PLC. Дата публикации: 2012-10-23.

Method and apparatus for coating a solution onto a substrate

Номер патента: EP1095314A1. Автор: Jeffrey Glenn Innocenzo,Frank Santo Principe. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2001-05-02.

Apparatus for ascertaining and/or monitoring a process variable

Номер патента: US20090284269A1. Автор: Armin Wernet,Kaj Uppenkamp,Roland Dieterle. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2009-11-19.

Apparatus for coating a surface of a substrate with powdered material

Номер патента: US3628501A. Автор: Albert Edward Jackson,Robert Gordon Russell. Владелец: John Summers and Sons Ltd. Дата публикации: 1971-12-21.

Method and apparatus for applying a coating onto a substrate

Номер патента: EP1232370A1. Автор: Vincent Dattilo. Владелец: PPG Industries Ohio Inc. Дата публикации: 2002-08-21.

Method and apparatus for removing processing liquid from a processing liquid delivery line

Номер патента: US20020026951A1. Автор: Michael Jackson,Tushar Mandrekar,Anish Tolia. Владелец: Individual. Дата публикации: 2002-03-07.

Apparatus for applying glass elements to a substrate

Номер патента: US20030031827A1. Автор: Bernhard Hofer,Peter Messner,Johannes Graf,Matthias Gander,Herbert Oefner. Владелец: Individual. Дата публикации: 2003-02-13.

Apparatus for distributing superabsorbent particles onto a substrate

Номер патента: EP4378436A1. Автор: Thomas Heege. Владелец: Ontex Group NV. Дата публикации: 2024-06-05.

Apparatus for feeding single grains to a processing machine, in particular an injection molding machine

Номер патента: US11858186B2. Автор: Erhard Fux. Владелец: Wittmann Technology GmbH. Дата публикации: 2024-01-02.

Method and apparatus for providing a context resource description language and framework for supporting the same

Номер патента: US20110126267A1. Автор: Sailesh Kumar Sathish. Владелец: Nokia Oyj. Дата публикации: 2011-05-26.

Method and apparatus for driving a pile into a substrate

Номер патента: EP2686488A1. Автор: David Howel Gunter. Владелец: IHC Sea Steel Ltd. Дата публикации: 2014-01-22.

Method and apparatus for driving a pile into a substrate

Номер патента: WO2012127201A1. Автор: David Howel Gunter. Владелец: IHC Sea Steel Ltd. Дата публикации: 2012-09-27.

Method and apparatus for laminating a film on a substrate

Номер патента: US20240173953A1. Автор: Dilan Seneviratne,Thomas Heaton,Joshua Stacey. Владелец: Intel Corp. Дата публикации: 2024-05-30.

Apparatus for playing a game comprising a substrate displaying a matrix

Номер патента: US20190156617A1. Автор: Glen David Smith,John Anthony Reid. Владелец: LMS PATENTS (ISLE OF MAN) Ltd. Дата публикации: 2019-05-23.

Robot system for processing an object and method of packaging and processing the same

Номер патента: US20200094414A1. Автор: Wei Xi,Hui Cheng. Владелец: JD com American Technologies Corp. Дата публикации: 2020-03-26.

Apparatus for measuring radiation transmittance of a process fluid

Номер патента: CA2144287A1. Автор: Arthur J. Shapiro. Владелец: Infilco Degremont Inc. Дата публикации: 1995-10-29.

Apparatus for measuring the temperature of a substrate

Номер патента: US5539855A. Автор: Takatoshi Chiba,Mitsukazu Takahashi,Katsuichi Akiyoshi. Владелец: Dainippon Screen Manufacturing Co Ltd. Дата публикации: 1996-07-23.

Fluid-driven apparatus for feeding a fabric in a process tumbler

Номер патента: WO2016151492A1. Автор: Massimo Biancalani,Riccardo Ravagli. Владелец: BIANCALANI S.R.L.. Дата публикации: 2016-09-29.

Apparatus for controlling diagnostic of a battery pack, battery system having the same and method thereof

Номер патента: EP4372394A1. Автор: Yoon Cheol Jeon,Jin Soo Jang,Hyun Ki Cho. Владелец: Kia Corp. Дата публикации: 2024-05-22.

Apparatus for depositing a coating on a substrate

Номер патента: US5336324A. Автор: Richard A. Stall,Gary S. Tompa,Alexander Gurary,Craig R. Nelson. Владелец: Emcore Corp. Дата публикации: 1994-08-09.

Apparatus for detecting floor-to-floor height of building, method for controlling the same, and storage medium

Номер патента: US20160161252A1. Автор: Airi Yasui. Владелец: Canon Inc. Дата публикации: 2016-06-09.

Apparatus for rubbing alignment layer on a substrate

Номер патента: US7751023B2. Автор: Chih-Chieh Chang,Tsung-Yuan Chang,Pin-Chun Wu,Chih-Hsiung Weng. Владелец: Quanta Display Inc. Дата публикации: 2010-07-06.

Apparatus for rubbing alignment layer on a substrate

Номер патента: US20080170191A1. Автор: Chih-Chieh Chang,Tsung-Yuan Chang,Pin-Chun Wu,Chih-Hsiung Weng. Владелец: Quanta Display Inc. Дата публикации: 2008-07-17.

Method and apparatus for coating a solution onto a substrate

Номер патента: WO1999059031A1. Автор: Jeffrey Glenn Innocenzo,Frank Santo Principe. Владелец: E.I. Du Pont De Nemours and Company. Дата публикации: 1999-11-18.

Method and apparatus for removing target material from a substrate

Номер патента: NZ537651A. Автор: Christopher Davies. Владелец: Carglass Luxembourg Sarl Zug. Дата публикации: 2006-10-27.

Apparatus for distributing absorbent fibers onto a substrate

Номер патента: EP4389090A1. Автор: Thomas Heege. Владелец: Ontex Group NV. Дата публикации: 2024-06-26.

Apparatus for applying coating material to a substrate

Номер патента: US5085167A. Автор: Albert Hebels. Владелец: Pagendarm Beschichtungstechnik GmbH. Дата публикации: 1992-02-04.

Apparatus for depositing a material on a substrate and an applicator head therefor

Номер патента: US5478224A. Автор: Grant McGuffey. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 1995-12-26.

Method and apparatus for driving a pile into a substrate

Номер патента: EP2699735A1. Автор: Alastair Berry,Melchior Klopper,David Howell Gunter. Владелец: IHC Sea Steel Ltd. Дата публикации: 2014-02-26.

Method and apparatus for removing target material from a substrate

Номер патента: EP1523386A1. Автор: Christopher Davies. Владелец: Carglass Luxembourg SARL Zug Branch. Дата публикации: 2005-04-20.

Method and apparatus for holding a mold assembly and molding an optical lens using the same

Номер патента: US6872335B2. Автор: Kai C. Su,Richard Lu. Владелец: Technology Resources International Corp. Дата публикации: 2005-03-29.

Process and apparatus for controlled thermal afterburning of a process exhaust gas containing oxidizable substances

Номер патента: US4983362A. Автор: Herbert J. Obermuller. Владелец: Grace GmbH. Дата публикации: 1991-01-08.

Method and apparatus for imaging of features on a substrate

Номер патента: CA2729977C. Автор: Neil Emans,Auguste Genovesio. Владелец: INSTITUT PASTEUR KOREA. Дата публикации: 2015-04-14.

Process and apparatus for controlled thermal afterburning of a process exhaust gas containing oxidisable substances

Номер патента: CA1305041C. Автор: Herbert Obermuller. Владелец: Grace GmbH. Дата публикации: 1992-07-14.

Apparatus for reducing power consumption of liquid crystal panel and method for the same

Номер патента: US20140139417A1. Автор: Wei Sun. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2014-05-22.

Monolayer of nanorods on a substrate and method of forming the same

Номер патента: US10041886B2. Автор: Qihua Xiong,Bo Peng. Владелец: NANYANG TECHNOLOGICAL UNIVERSITY. Дата публикации: 2018-08-07.

Method and apparatus for optimizing crystallization conditions of a substrate

Номер патента: EP1786563A1. Автор: Jean-Pascal Viola,Christian Houde,Steve T Treault. Владелец: Nextal Biotechnologie Inc. Дата публикации: 2007-05-23.

Monolayer of nanorods on a substrate and method of forming the same

Номер патента: US20160018335A1. Автор: Qihua Xiong,Bo Peng. Владелец: NANYANG TECHNOLOGICAL UNIVERSITY. Дата публикации: 2016-01-21.

Method and an apparatus for automatic semantic annotation of a process model

Номер патента: US20090234640A1. Автор: Norbert Weber,Gustav Pomberger,Andreas Boegl,Michael Schrefl. Владелец: SIEMENS AG. Дата публикации: 2009-09-17.

System for supplying strip to a processing line

Номер патента: US4720054A. Автор: Walter J. Hood,Anthony C. Costello,Eugene L. Conaway. Владелец: Kent Corp. Дата публикации: 1988-01-19.

Apparatus for conducting oral care experiments and method of forming and using the same

Номер патента: CA2818302A1. Автор: Guofeng Xu,Shyamala Pillai. Владелец: Colgate Palmolive Co. Дата публикации: 2012-06-14.

Method and apparatus for optimizing, monitoring, or analyzing a process

Номер патента: US20090326680A1. Автор: Günther LANDGRAF. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2009-12-31.

Capsule and clamping apparatus for locating and embedding a specimen and a method for using the same

Номер патента: US4595549A. Автор: Tohru Inouye,Lai-Chun J. Tong. Владелец: Syprocode Inc. Дата публикации: 1986-06-17.

Apparatus for determining and/or monitoring a process variable

Номер патента: CA2677775C. Автор: Armin Wernet,Roland Dieterle,Andreas Krumbholz. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2013-02-05.

Apparatus for examining brain injury, method of making and method of using the same

Номер патента: US20230139872A1. Автор: Qianhong WU,Ji LANG. Владелец: Villanova University. Дата публикации: 2023-05-04.

Method and apparatus for providing a context resource description language and framework for supporting the same

Номер патента: EP2504778A1. Автор: Sailesh Kumar Sathish. Владелец: Nokia Oyj. Дата публикации: 2012-10-03.

Apparatus for non-invasive spectroscopic measurement of analytes, and method of using the same

Номер патента: US20100249546A1. Автор: Steve C. White. Владелец: All Protect Llc. Дата публикации: 2010-09-30.

Transmission control apparatus for belt type mild hybrid vehicle and transmission control method using the same

Номер патента: US9522673B2. Автор: In Gyu Jang. Владелец: Mando Corp. Дата публикации: 2016-12-20.

Apparatus for detecting foreign matter in raw material and method of detecting the same

Номер патента: CA2374153C. Автор: Kiyomi Sato,Tsuyoshi Futamura,Shinzo Kida. Владелец: Japan Tobacco Inc. Дата публикации: 2008-10-28.

Method and apparatus for storing and supplying a fluid for use by an engine

Номер патента: US20090025676A1. Автор: Jesse G. James,Michael Desmond. Владелец: West Coast Choppers Inc. Дата публикации: 2009-01-29.

Apparatus for packing and processing a meat product

Номер патента: CA1326398C. Автор: Christianus P. Langen. Владелец: LANGEN RESEARCH BV. Дата публикации: 1994-01-25.

Knife assembly and apparatus and method for processing animal products

Номер патента: DK202430311A1. Автор: Tychsen Werner. Владелец: Nordischer Maschinenbau. Дата публикации: 2024-06-28.

Knife assembly and apparatus and method for processing animal products

Номер патента: CA3235771A1. Автор: Werner TYCHSEN. Владелец: Nordischer Maschinenbau Rud Baader GmbH and Co KG. Дата публикации: 2023-05-19.

Apparatus for processing photosensitive materials

Номер патента: WO1992022852A1. Автор: David Lynn Patton. Владелец: EASTMAN KODAK COMPANY. Дата публикации: 1992-12-23.

Apparatus for processing photosensitive material

Номер патента: US5335039A. Автор: Bruce R. Muller,Douglas O. Hall,David G. Sherburne,Thomas W. Glanville. Владелец: Eastman Kodak Co. Дата публикации: 1994-08-02.

Chemical liquid supply module and substrate treating apparatus including the same

Номер патента: US20240198371A1. Автор: Jaewon Kim,Dogyeong Ha. Владелец: Semes Co Ltd. Дата публикации: 2024-06-20.

Apparatus for controlling parking of vehicle, system having the same, and method thereof

Номер патента: US20200070814A1. Автор: Jong Ho Park,Gil Won SEO,Dong Hae Kim. Владелец: Kia Motors Corp. Дата публикации: 2020-03-05.

Substrate coating and apparatus for applying the same

Номер патента: EP1311352A2. Автор: Philippe LeBras,Olivier Timbal. Владелец: Meadwestvaco Packaging Systems LLC. Дата публикации: 2003-05-21.

Substrate coating and apparatus for applying the same

Номер патента: WO2002016050A2. Автор: Philippe LeBras,Olivier Timbal. Владелец: The Mead Corporation. Дата публикации: 2002-02-28.

Method for moving logs in cutting-off machine tools and apparatus for performing the same

Номер патента: RU2307732C2. Автор: Фабио ПЕРИНИ. Владелец: Фабио ПЕРИНИ. Дата публикации: 2007-10-10.

Method and apparatus for testing semisolid materials

Номер патента: WO2005038432A1. Автор: Richard J. Kenny,Bhalchandra S. Patel,Paul J. Cooper,Graham D. Cook. Владелец: Wyeth. Дата публикации: 2005-04-28.

Apparatus for the controlled heating of process fluids

Номер патента: US5947111A. Автор: John I. Neulander,George S. Millas,Tommy H. Croasdale,Robert J. Giammaruti. Владелец: Hudson Products Corp. Дата публикации: 1999-09-07.

Method and apparatus for applying materials to a substrate

Номер патента: WO1991008911A1. Автор: Richard Smith,Glenn Dimmock. Владелец: OAKWOOD DESIGN. Дата публикации: 1991-06-27.

Apparatus for securing a package

Номер патента: US20210024253A1. Автор: Roland Ray Blubaugh. Владелец: Mail Bag Receiving And Protection System LLC. Дата публикации: 2021-01-28.

Apparatus for securing a package

Номер патента: US20190269268A1. Автор: Roland Ray Blubaugh. Владелец: Mail Bag Receiving And Protection System LLC. Дата публикации: 2019-09-05.

Apparatus for securing a package

Номер патента: US20210078769A1. Автор: Roland Ray Blubaugh. Владелец: Mail Bag Receiving And Protection System LLC. Дата публикации: 2021-03-18.

Apparatus for rolling seamless tubes

Номер патента: US3921430A. Автор: Jean Paul Calmes. Владелец: Innocenti Santeustacchio SpA. Дата публикации: 1975-11-25.

Apparatus for water treatment using capacitive deionization and method for controlling the same

Номер патента: EP2797674A1. Автор: Young-Gun Cho. Владелец: Coway Co Ltd. Дата публикации: 2014-11-05.

Sterilization apparatus for vehicle and sterilization system for vehicle including the same

Номер патента: EP4289448A1. Автор: Seung Cheol KIM. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2023-12-13.

Sterilization apparatus for vehicle and sterilization system for vehicle including the same

Номер патента: US20230398249A1. Автор: Seung Cheol KIM. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2023-12-14.

Method of cleaning container for photographic treatment composition and apparatus therefor

Номер патента: US20030111096A1. Автор: Akira Abe. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2003-06-19.

Method of cleaning container for photographic treatment composition and apparatus therefor

Номер патента: US20020164167A1. Автор: Akira Abe. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2002-11-07.

Spray apparatus for spraying water and discharging residual water and method for controlling the same

Номер патента: US20200246718A1. Автор: Hyeong Min Kim. Владелец: CJ 4DPLEX Co Ltd. Дата публикации: 2020-08-06.

Brake apparatus, robot joint and robot including the same

Номер патента: US11731263B2. Автор: Ran AN,Vikranth Reddy KATTAKURI. Владелец: Flexiv Ltd. Дата публикации: 2023-08-22.

Process and apparatus for producing atmospheres for high productivity carburizing

Номер патента: US20030226619A1. Автор: Jaak Van den Sype,Alan Barlow,Edison Viotto. Владелец: Praxair Technology Inc. Дата публикации: 2003-12-11.

Retardation compensation element and manufacturing method of the same

Номер патента: US20080259453A1. Автор: Shuji Akiya,Mototakta KANAYA. Владелец: Fujinon Corp. Дата публикации: 2008-10-23.

Retardation compensation element and manufacturing method of the same

Номер патента: US20110299161A1. Автор: Shuji Akiya,Mototakta KANAYA. Владелец: Fujinon Corp. Дата публикации: 2011-12-08.

Methods and apparatuses for electron beam testing electrical connections of a substrate

Номер патента: WO2023193889A1. Автор: Bernhard G. Mueller,Ludwig Ledl,Axel Wenzel. Владелец: Applied Materials, Inc.. Дата публикации: 2023-10-12.

Apparatus for removable fastening of flat articles, like leathers and similar to a work surface

Номер патента: EP1178120A3. Автор: Gianni Gallucci. Владелец: Teseo SpA. Дата публикации: 2003-05-14.

Apparatus for removable fastening of flat articles, like leathers and similar to a work surface

Номер патента: EP1178120B1. Автор: Gianni Gallucci. Владелец: Teseo SpA. Дата публикации: 2006-08-09.

Gaming method and apparatus

Номер патента: US20050093238A1. Автор: Rose Anderson. Владелец: Individual. Дата публикации: 2005-05-05.

Image fixing roller and image fixing apparatus containing the same

Номер патента: US5786564A. Автор: Minoru Matsuo. Владелец: Ricoh Co Ltd. Дата публикации: 1998-07-28.

Processing machine, workpiece table and method for processing a workpiece

Номер патента: AU2022306084A1. Автор: Nikolaus Franz Duscher. Владелец: Evobend GmbH. Дата публикации: 2024-01-04.

Apparatus for Monitoring Fluid Flow in a Pipe Using Electromagnetic Velocity Tomography

Номер патента: US20240085228A1. Автор: Gary Lucus,Oble Ogheneochuko. Владелец: Flodatix Ltd. Дата публикации: 2024-03-14.

Apparatus for monitoring fluid flow in a pipe using electromagnetic velocity tomography

Номер патента: US11982553B2. Автор: Gary Lucas,Obie OGHENEOCHUKO. Владелец: Enercorp Engineered Solutions LLC. Дата публикации: 2024-05-14.

Method and apparatus for supplying metal coils to a processing station

Номер патента: CA1141692A. Автор: Robert J. Lipscomb. Владелец: Precision Steel Warehouse Inc. Дата публикации: 1983-02-22.

In-situ method and apparatus for measuring fluid resistivity

Номер патента: WO2022076126A1. Автор: Douglas A. Buchberger, Jr.,Gautam PISHARODY,Lancelot HUANG. Владелец: Applied Materials, Inc.. Дата публикации: 2022-04-14.

Method and Apparatus for Orthopedic Procedure State Indication

Номер патента: US20240041391A1. Автор: Kenneth Anderson Stewart,Robert Neil Shaddock,Anya Chambers,Nicholas Mast. Владелец: Individual. Дата публикации: 2024-02-08.

Method and apparatus for ejecting ink droplets onto a substrate

Номер патента: US20080238968A1. Автор: Hisashi Kuwata. Владелец: NEC LCD Technologies Ltd. Дата публикации: 2008-10-02.

Method and apparatus for supplying metal coils to a processing station

Номер патента: US4509891A. Автор: Robert J. Lipscomb. Владелец: Precision Steel Warehouse Inc. Дата публикации: 1985-04-09.

Pressure-mitigating surfaces with inflatable chambers and methods for deploying and controlling the same

Номер патента: US12070379B2. Автор: Rafael P. Squitieri. Владелец: Turncare Inc. Дата публикации: 2024-08-27.

Process for lining moulded articles and apparatus for performing the process

Номер патента: CA1196174A. Автор: Gunter H. Kiss. Владелец: Individual. Дата публикации: 1985-11-05.

Method and apparatus for partitioning an arbitrarily-shaped area

Номер патента: US20030142867A1. Автор: Gary Holt,Edward Ratner,David Kita. Владелец: Individual. Дата публикации: 2003-07-31.

Apparatus for controlling seat of vehicle, system having the same, and method thereof

Номер патента: US20210107380A1. Автор: Sung Jin Jo. Владелец: Kia Motors Corp. Дата публикации: 2021-04-15.

Apparatus for coating a substrate

Номер патента: US5814152A. Автор: Stephen L. Thaler. Владелец: McDonnell Douglas Corp. Дата публикации: 1998-09-29.

Method and apparatus for coating a solution onto a substrate

Номер патента: EP1095314B1. Автор: Jeffrey Glenn Innocenzo,Frank Santo Principe. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2005-05-18.

Apparatus for application of foam to a substrate

Номер патента: GB1581478A. Автор: . Владелец: Union Carbide Corp. Дата публикации: 1980-12-17.

Apparatus for application of foam to a substrate

Номер патента: HK24381A. Автор: A T Walter,W W Rankin,D H Ashmus. Владелец: Union Carbide Corp. Дата публикации: 1981-06-12.

Method and apparatus for driving a pile into a substrate

Номер патента: EP2699735B8. Автор: Alastair Berry,Melchior Klopper,David Howell Gunter. Владелец: IHC IQIP UK LTD. Дата публикации: 2015-08-26.

Method and apparatus for the treatment of sewage

Номер патента: CA1102020A. Автор: Jay Kinzer. Владелец: Sanilogical Corp. Дата публикации: 1981-05-26.

Process and apparatus for detecting occlusions

Номер патента: CA1052885A. Автор: Gernot K. Brück. Владелец: D I H A C O (DIAMANTEN HANDELS EST) Cie. Дата публикации: 1979-04-17.

Apparatus for testing wafers

Номер патента: US20150185280A1. Автор: Jean-Marc Vaucher. Владелец: Etel SA. Дата публикации: 2015-07-02.

Process for diffusing a substrate into a base material

Номер патента: US20150140226A1. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Individual. Дата публикации: 2015-05-21.

Apparatus for fixing shaft of cartridge and image forming device having the same

Номер патента: US7483048B2. Автор: Se-Hyun Lyu,Heung-Sup Jeong,Woo-chul Jung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-01-27.

Biotin intermediate and process for preparing the same

Номер патента: US20080119655A1. Автор: Masahiko Seki,Toshiaki Shimizu,Masanori Hatsuda,Shin-ichi Yoshida. Владелец: Individual. Дата публикации: 2008-05-22.

Method and apparatus for testing semisolid materials

Номер патента: EP1673610A1. Автор: Richard J. Kenny,Bhalchandra S. Patel,Paul J. Cooper,Graham D. Cook. Владелец: WYETH LLC. Дата публикации: 2006-06-28.

Method and apparatus for coating a solution onto a substrate

Номер патента: AU3103999A. Автор: Jeffrey Glenn Innocenzo,Frank Santo Principe. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 1999-11-29.

The mold apparatus for making a wind turbine blade and the method of making the same

Номер патента: DK2689128T3. Автор: Damien Rajasingam. Владелец: Vestas Wind Sys As. Дата публикации: 2016-02-29.

Method and apparatus for cleaning coating materials from a substrate

Номер патента: EP1346827B1. Автор: Thomas Hebert. Владелец: Agfa Corp. Дата публикации: 2008-11-05.

Method and apparatus for attaching a covering to a substrate

Номер патента: AU2001266146A1. Автор: Mark Brian Davis,Victor Charles Sumner. Владелец: Magna Interior Systems Ltd. Дата публикации: 2002-01-02.

Apparatus for compensating for deterioration in amoled

Номер патента: US20110169817A1. Автор: Kyung Ho Lee,Kyoung Soo Kwon,Youn Joong Lee,Byoung Won Hwang. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2011-07-14.

METHOD AND APPARATUS FOR OPERATING A pile into a substrate

Номер патента: DK2686488T3. Автор: David Howel Gunter. Владелец: IHC Sea Steel Ltd. Дата публикации: 2015-07-06.

Method and apparatus for applying contoured elastic to a substrate

Номер патента: AU6520986A. Автор: Ronald H. Wideman,Thomas M. Ales,Jeffery J. Samida,Donald F. Arthur. Владелец: Kimberly Clark Corp. Дата публикации: 1988-05-25.

Method and apparatus for applying contoured elastic to a substrate

Номер патента: AU613861B2. Автор: Ronald H. Wideman,Thomas M. Ales,Jeffery J. Samida,Donald F. Arthur. Владелец: Kimberly Clark Corp. Дата публикации: 1991-08-15.

Apparatus for preventing buckling of flexible surgical instrument

Номер патента: US20240261041A1. Автор: Dong-Soo Kwon,Duk-Yoo KONG,Hyun-Woo Baek,Joon-Yeong KIM,Jae-Min You. Владелец: Roen Surgical Inc. Дата публикации: 2024-08-08.

Quantitative methods, systems and apparatuses for gene expression analysis

Номер патента: EP1076722A1. Автор: Stewart Scherer. Владелец: Acacia Biosciences Inc. Дата публикации: 2001-02-21.

Apparatus for temperature measurement

Номер патента: US5213417A. Автор: Mitsuya Otonari,Takeo Yamada,Naoki Harada,Masaru Yoshida,Shuichi Takano,Shinichiro Ohtaka. Владелец: NKK Corp. Дата публикации: 1993-05-25.

Improved process for diffusing a substrate into a base material

Номер патента: WO2014081466A3. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Bongsub Samuel Ko. Дата публикации: 2014-07-17.

Improved process for diffusing a substrate into a base material

Номер патента: EP2844465A2. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Individual. Дата публикации: 2015-03-11.

Improved process for diffusing a substrate into a base material

Номер патента: WO2014081466A2. Автор: Philos Jongho Ko,Bongsub Samuel Ko. Владелец: Bongsub Samuel Ko. Дата публикации: 2014-05-30.

Methods and apparatus for access control in shared virtual memory configurations

Номер патента: WO2018017420A1. Автор: Azzedine Touzni,Thomas Zeng,Mitchel HUMPHERYS. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2018-01-25.

Apparatus for and method of controlling a process

Номер патента: GB9313534D0. Автор: . Владелец: West Instruments Ltd. Дата публикации: 1993-08-11.

Apparatus for manufacturing gel particle and method for manufacturing gel particle

Номер патента: US20120016115A1. Автор: Katsuya Ide. Владелец: Seiko Epson Corp. Дата публикации: 2012-01-19.

Methods and apparatus for reducing flow distortion at engine fans of nacelles

Номер патента: US20180363491A1. Автор: Arvin Shmilovich,Yoram Yadlin. Владелец: Boeing Co. Дата публикации: 2018-12-20.

Apparatus for treating the surface of a substrate having supply pipe for treatment gas

Номер патента: KR100760651B1. Автор: 노태협. Владелец: 주식회사 셈테크놀러지. Дата публикации: 2007-09-21.

Optical signal control method and apparatus

Номер патента: CA1305243C. Автор: Nigel Gordon Walker. Владелец: British Telecommunications plc. Дата публикации: 1992-07-14.

Apparatus for and method of applying a pattern upon a substrate

Номер патента: CA1200442A. Автор: Kenneth A. Beckim. Владелец: PPG Industries Inc. Дата публикации: 1986-02-11.

Method and apparatus for applying a voltage to a substrate during plating

Номер патента: MY146519A. Автор: David Knox,Antony Calcaterra. Владелец: Wd Media Inc. Дата публикации: 2012-08-15.

System and method for depositing a material on a substrate

Номер патента: EP1880037A2. Автор: Todd Coleman,Andrew Gray,Ricky Powell. Владелец: First Solar US Manufacturing LLC. Дата публикации: 2008-01-23.

Method and apparatus for managing processes

Номер патента: EP2981894A1. Автор: Jiahui Liang. Владелец: Tencent Technology Shenzhen Co Ltd. Дата публикации: 2016-02-10.

Apparatus for lifting movable base of toilet

Номер патента: US20210100411A1. Автор: Soon Young Song. Владелец: Individual. Дата публикации: 2021-04-08.

Apparatus for inking a surgical instrument

Номер патента: US20180221107A1. Автор: Matthew Levine,Gary Pieringer. Владелец: VISCOT MEDICAL LLC. Дата публикации: 2018-08-09.

System and apparatus for detecting diseases

Номер патента: US20220095923A1. Автор: Karleen Seybold,Nikhil Dhinagar. Владелец: Techara LLC. Дата публикации: 2022-03-31.

Method and apparatus for estimating virtual machine energy consumption

Номер патента: US20120296585A1. Автор: Li Li,YING Zhou,HAO WANG,YING Chen,LIANG Liu. Владелец: International Business Machines Corp. Дата публикации: 2012-11-22.

Improvements in methods and apparatus for electrical discharge machining

Номер патента: WO1979000380A1. Автор: S Bhattacharyya,M Elmenshawy,P Woodrow. Владелец: P Woodrow. Дата публикации: 1979-06-28.

Method for processing accident information and vehicle operation control server using the same

Номер патента: US20240274001A1. Автор: Minwoo Lee. Владелец: Kia Corp. Дата публикации: 2024-08-15.

Method and apparatus for thermally treating an oil reservoir

Номер патента: MY165508A. Автор: Eugeny Nikolaevich Alexandrov,Dmitry Anatoljevich Lemenovski,Zdenek Koller. Владелец: Tctm Ltd. Дата публикации: 2018-03-28.

Method and apparatus for shaping and planing boards

Номер патента: CA1065743A. Автор: Donald L. Morelock. Владелец: Individual. Дата публикации: 1979-11-06.

Development apparatus for use with dry type copying apparatus

Номер патента: CA1085156A. Автор: Nobuo Mochizuki,Hajime Oyama,Teruyuki Ohnuma,Hiromi Demizu. Владелец: Ricoh Co Ltd. Дата публикации: 1980-09-09.

Apparatus for supplying fish to a processing machine

Номер патента: GB2139074B. Автор: Gunther Pinkerneil,Axel Sawusch. Владелец: Nordischer Maschinenbau Rud Baader GmbH and Co KG. Дата публикации: 1986-10-29.

Method and apparatus for generating a video based on a process

Номер патента: US20220051696A1. Автор: Denys Korobeynikov,Oleksandr Reminnyi,Mykola Zubekhin,Kateryna Matusova. Владелец: UiPath Inc. Дата публикации: 2022-02-17.

Method and apparatus for monitoring the purity and/or quality of steam

Номер патента: CA2570974A1. Автор: Michael A. Centanni,Herbert J. Kaiser. Владелец: Individual. Дата публикации: 2006-01-26.

Method and apparatus for monitoring the purity and/or quality of stem

Номер патента: EP1756596A1. Автор: Michael A. Centanni,Herbert J. Kaiser. Владелец: American Sterilizer Co. Дата публикации: 2007-02-28.

Method and apparatus for monitoring the purity and/or quality of stem

Номер патента: EP1756596A4. Автор: Michael A Centanni,Herbert J Kaiser. Владелец: American Sterilizer Co. Дата публикации: 2009-05-27.

Method and apparatus for monitoring the purity and/or quality of stem

Номер патента: WO2006009563A1. Автор: Michael A. Centanni,Herbert J. Kaiser. Владелец: American Sterilizer Company. Дата публикации: 2006-01-26.

Composition for enhancing the properties of a substrate and method for making the same

Номер патента: EP3240845A4. Автор: Vinay G. Patel,Jeffrey L. Selph. Владелец: Xo Science LLC. Дата публикации: 2018-08-15.

Random play control method and apparatus for disc player

Номер патента: US20010027713A1. Автор: Sang Park,Yong Han. Владелец: LG ELECTRONICS INC. Дата публикации: 2001-10-11.

PRESSURE CONTROL VALVE AND APPARATUS AND METHOD FOR PROCESSING A SUBSTRATE INCLUDING THE SAME

Номер патента: US20190145528A1. Автор: Kim Young-Joo,Lee Jin-won,Lee Kwang-Uk. Владелец: . Дата публикации: 2019-05-16.

COMPOSITE TRANSDUCER APPARATUS AND SYSTEM FOR PROCESSING A SUBSTRATE AND METHOD OF CONSTRUCTING THE SAME

Номер патента: US20150258583A1. Автор: Korbler John A.,Novak Richard. Владелец: . Дата публикации: 2015-09-17.

Apparatus for processing photosensitive material

Номер патента: CA2124474C. Автор: Yoshihiko Nakashima. Владелец: Noritsu Koki Co Ltd. Дата публикации: 2000-02-22.

Apparatus for introducing fluid into a process stream

Номер патента: US6288269B1. Автор: Derek Alan Colman. Владелец: BP Chemicals Ltd. Дата публикации: 2001-09-11.

Apparatus for detaching releasable snoods from a fishing line

Номер патента: CA1119797A. Автор: Per Huse. Владелец: Mustad (o) & Son AS. Дата публикации: 1982-03-16.

Short contact time fluid catalytic cracking process and apparatus

Номер патента: CA1300542C. Автор: Hartley Owen,James H. Haddad. Владелец: Mobil Oil Corp. Дата публикации: 1992-05-12.

Apparatus for weaving spheriodially contoured fabric

Номер патента: US4825912A. Автор: Thomas S. Ohnstad,Gilles A. Fleury,Robert L. Lavallee. Владелец: Ciba Geigy Corp. Дата публикации: 1989-05-02.

Improvements in or relating to application of material to a substrate

Номер патента: AU703763B2. Автор: David Owen. Владелец: CHEMISOLV Ltd. Дата публикации: 1999-04-01.

Apparatus for measuring water content in a substrate, including human skin

Номер патента: CA1323772C. Автор: Jean-Luc Leveque,Roland Bazin,Jean Scot. Владелец: LOreal SA. Дата публикации: 1993-11-02.

Method and apparatus for sharing concurrent ad hoc web content between users visiting the same web pages

Номер патента: WO2010008769A2. Автор: Steven Horowitz,Lucas J. Shuman. Владелец: Yahoo, Inc.. Дата публикации: 2010-01-21.

Parking apparatus for vehicle with rack and pinion structure and transmission for vehicle including the same

Номер патента: US11885414B2. Автор: Duk Soon Choi,Sun Hyun Kim. Владелец: Neooto Co Ltd. Дата публикации: 2024-01-30.

Tilt buffering apparatus for a bicycle carrier frame and bicycle carrier frame having the same

Номер патента: US20230339409A1. Автор: Yu-Lung Tsai. Владелец: Jyin Sheng Co Ltd. Дата публикации: 2023-10-26.

Tilt buffering apparatus for a bicycle carrier frame and bicycle carrier frame having the same

Номер патента: US11794661B1. Автор: Yu-Lung Tsai. Владелец: Jyin Sheng Co Ltd. Дата публикации: 2023-10-24.

Method and apparatus for providing user-customized coffee recipe and coffee corresponding to the same

Номер патента: US20230316361A1. Автор: Hyuk Kim,Jeongtae Kim,Ja Euk KOO. Владелец: Huzen Gfc Inc. Дата публикации: 2023-10-05.

Parking apparatus for vehicle with rack and pinion structure and transmission for vehicle including the same

Номер патента: US20230167899A1. Автор: Duk Soon Choi,Sun Hyun Kim. Владелец: Neooto Co Ltd. Дата публикации: 2023-06-01.

Finger control arrangement for processing facility

Номер патента: WO2024110953A1. Автор: Niyya TORJMAN. Владелец: Niyya TORJMAN. Дата публикации: 2024-05-30.

Process and apparatus for joining flexible components

Номер патента: EP2654643A1. Автор: Uwe Schneider. Владелец: Procter and Gamble Co. Дата публикации: 2013-10-30.

Apparatus for adjusting posture of panel and panel bonding apparatus having the same

Номер патента: KR101126686B1. Автор: 한동희. Владелец: 한동희. Дата публикации: 2012-03-29.

Apparatus for controlling total disolved solids and water treatment apparatus having the same

Номер патента: KR20170062250A. Автор: 강상현,문형민,이병필. Владелец: 코웨이 주식회사. Дата публикации: 2017-06-07.

Apparatus for Cleaning Lip of Air Knife and Air Knife having The Same Apparatus

Номер патента: KR100856307B1. Автор: 김상준,신기태,선판우. Владелец: 주식회사 포스코. Дата публикации: 2008-09-03.

Environmental protective amusement and vending method and apparatus

Номер патента: US5402872A. Автор: Grant G. Clurman. Владелец: Individual. Дата публикации: 1995-04-04.

Image processing circuit for processing image on-the fly and devices having the same

Номер патента: KR102254676B1. Автор: 윤성철,김민수. Владелец: 삼성전자주식회사. Дата публикации: 2021-05-21.

Method and apparatus for processing food products

Номер патента: CA1093894A. Автор: Andrew A. Caridis,Clark K. Benson,Lawrence F. Klein. Владелец: Heat and Control Inc. Дата публикации: 1981-01-20.

Apparatus for grading gemstone cut and symmetry and a method for using the same

Номер патента: US20060244946A1. Автор: William Underwood. Владелец: Individual. Дата публикации: 2006-11-02.

Apparatus for build of moving route and agricultural chemicals apparatus having the same

Номер патента: KR101625393B1. Автор: 이도희. Владелец: 이도희. Дата публикации: 2016-05-30.

Apparatus for processing food products

Номер патента: US4366749A. Автор: Andrew A. Caridis,Clark K. Benson,Lawrence F. Klein. Владелец: Heat and Control Inc. Дата публикации: 1983-01-04.

Bearing apparatus for axle and method of manufacturing the same

Номер патента: US8167498B2. Автор: Shigeru Inoue,Takumi Harada,Yutaka Koma,Joji Miyawaki. Владелец: JTEKT Corp. Дата публикации: 2012-05-01.

Apparatus for mixing gas and liquid at a downhole location

Номер патента: CA1091575A. Автор: Billy G. Holmes,Jimmie Crofford. Владелец: Mobil Oil Corp. Дата публикации: 1980-12-16.

Apparatus for sterilization using carbonated water and water treatment apparatus having the same

Номер патента: KR20150075527A. Автор: 문성민,홍영훈. Владелец: 코웨이 주식회사. Дата публикации: 2015-07-06.

Radar-enabled coating containing metal effect pigments on a substrate

Номер патента: US20230265299A1. Автор: Gianfranco Pironti,Christoph LANDMANN. Владелец: Merck Patent GmBH. Дата публикации: 2023-08-24.

Airbag apparatus for vehicle and method of controlling operation of the same

Номер патента: US20240116472A1. Автор: Dong Young Kim,Dong Joon Lee,Seok min Lee,Ga Ram JEONG. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2024-04-11.

Method and apparatus for precise marking and placement of an object

Номер патента: US20050275670A1. Автор: Siew Yong,Piau Foo. Владелец: Individual. Дата публикации: 2005-12-15.

Spray apparatus for spraying water and discharging residual water and method for controlling the same

Номер патента: WO2016108558A1. Автор: Hyeong Min Kim. Владелец: CJ 4DPLEX CO., LTD.. Дата публикации: 2016-07-07.

Process and apparatus for making mineral wool fibres

Номер патента: AU1161192A. Автор: Lars Elmekilde Hansen,Svend Grove-Rasmussen. Владелец: Rockwool International AS. Дата публикации: 1992-08-27.

Inspection method and apparatus using nuclear magnetic resonance

Номер патента: US5754047A. Автор: Yo Taniguchi,Etsuji Yamamoto,Hiroyuki Itagaki,Yukari Onodera. Владелец: Hitachi Medical Corp. Дата публикации: 1998-05-19.

Apparatus for organic matter cultivation

Номер патента: US20240155984A1. Автор: Kurt Michael Swanson. Владелец: Individual. Дата публикации: 2024-05-16.

Method and apparatus for displaying map and camera capturing range

Номер патента: US20230252688A1. Автор: Kyoung Jeon JEONG. Владелец: Hanwha Techwin Co Ltd. Дата публикации: 2023-08-10.

Spray apparatus for spraying water and discharging residual water and method for controlling the same

Номер патента: US20170340982A1. Автор: Hyeong Min Kim. Владелец: CJ 4DPLEX Co Ltd. Дата публикации: 2017-11-30.

APPARATUS FOR DRIVING A TOUCH PAD AND A PORTABLE TERMINAL HAVING THE SAME

Номер патента: US20190011974A1. Автор: JEON Jae-Hyoung,KIM Hark-Sang,PARK Seok-Hyo. Владелец: . Дата публикации: 2019-01-10.

Assistance Apparatus For Driving Of A Vehicle, Method Thereof, And Vehicle Having The Same

Номер патента: US20180105040A1. Автор: PARK Junsic,SONG Jieun,RYU Seungwon,SOH Yoonna. Владелец: . Дата публикации: 2018-04-19.

Apparatus for Navigation System with Traffic Environment in Vehicle, System Having the Same and Method Thereof

Номер патента: US20210180974A1. Автор: KIM Hyun Soo. Владелец: . Дата публикации: 2021-06-17.

APPARATUS FOR DRIVING A TOUCH PAD AND A PORTABLE TERMINAL HAVING THE SAME

Номер патента: US20200159309A1. Автор: JEON Jae-Hyoung,KIM Hark-Sang,PARK Seok-Hyo. Владелец: . Дата публикации: 2020-05-21.

APPARATUS FOR DRIVING A TOUCH PAD AND A PORTABLE TERMINAL HAVING THE SAME

Номер патента: US20190278358A1. Автор: JEON Jae-Hyoung,KIM Hark-Sang,PARK Seok-Hyo. Владелец: . Дата публикации: 2019-09-12.

An apparatus for making a fluid flow, and a disposable chip having the same

Номер патента: KR100618320B1. Автор: 이재용,조혜정. Владелец: 삼성전자주식회사. Дата публикации: 2006-08-31.

Apparatus for controlling autonomous vehicle based on deep learning, system having the same and method thereof

Номер патента: KR102406507B1. Автор: 유병용. Владелец: 현대자동차주식회사. Дата публикации: 2022-06-10.

Apparatus for separating hydrogen and system for treating ballast water having the same

Номер патента: KR101599943B1. Автор: 홍일기. Владелец: 삼성중공업 주식회사. Дата публикации: 2016-03-04.

Apparatus for producing charcoal using biomass and biomass treatment equipment having the same

Номер патента: KR102250690B1. Автор: 전창룡,이명교. Владелец: 아크홀딩스 주식회사. Дата публикации: 2021-05-17.

Assistance Apparatus for Driving of a Vehicle, Method thereof, and Vehicle having the same

Номер патента: KR101750159B1. Автор: 박준식,송지은,류승원,소윤나. Владелец: 엘지전자 주식회사. Дата публикации: 2017-06-22.

Apparatus for protecting refractory of melting furnace and melting furnace having the same

Номер патента: KR102273074B1. Автор: 홍성훈,최수봉. Владелец: 주식회사 포스코건설. Дата публикации: 2021-07-06.

Method and apparatus for pre-recording tracking information on magnetic media

Номер патента: CA1232066A. Автор: Francis Lum,John M. Chambors. Владелец: Irwin Magnetic Systems Inc. Дата публикации: 1988-01-26.

Improved Apparatus for Grinding Almonds, Coffee and the like, Pulping Fruit and Shredding Soap and the like.

Номер патента: GB191022900A. Автор: Alfred Clemesha. Владелец: Individual. Дата публикации: 1911-09-07.

Method and apparatus for demounting pneumatic vehicle tires

Номер патента: CA1242636A. Автор: Heinz-Dieter Rach,Udo Frerichs,Hans-Ulrich Klose,Carsten Boltze. Владелец: Continental Gummi Werke AG. Дата публикации: 1988-10-04.

Method and apparatus for powering down an integrated circuit transparently and its phase locked loop

Номер патента: US5842029A. Автор: James W. Conary,Robert R. Beutler. Владелец: Intel Corp. Дата публикации: 1998-11-24.

Method and apparatus for vulcanizing pneumatic vehicle tires

Номер патента: CA1287714C. Автор: Heinz-Dieter Rach,Udo Frerichs,Hans-Ulrich Klose,Carsten Boltze. Владелец: Continental Gummi Werke AG. Дата публикации: 1991-08-20.

Apparatus for supporting vehicle in parking facility and parking facility having the same

Номер патента: KR20220087243A. Автор: 김응수,양승철,노명현. Владелец: 주식회사 포스코. Дата публикации: 2022-06-24.

Apparatus for preventing falling of elevating robot and elevating robot having the same

Номер патента: KR101367697B1. Автор: 이준승. Владелец: (주)유진씨앤에스. Дата публикации: 2014-02-27.

Method and apparatus for processing document in touch-sensitive device

Номер патента: US9524386B2. Автор: Yonggil Han,Kyuchang LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-20.

Apparatus for Reducing Harmful Material and Circulating Fluidized Bed Boiler having the same

Номер патента: KR101839624B1. Автор: 김정훈. Владелец: 현대중공업 주식회사. Дата публикации: 2018-03-16.

Method and apparatus for the mixing of liquid or gas into pulp stock

Номер патента: CA1264125C. Автор: Jukka Sampi,Timo Aaltonen. Владелец: . Дата публикации: 1990-01-02.

Image pickup apparatus for capturing spectral images of an object and observation system including the same

Номер патента: US20050264672A1. Автор: Susumu Takahashi. Владелец: Olympus Corp. Дата публикации: 2005-12-01.

Allergy testing method and apparatus

Номер патента: CA1306673C. Автор: Henry Fishman. Владелец: Individual. Дата публикации: 1992-08-25.

Apparatus for loading storage tank with oil and oil carrier having the same

Номер патента: KR101465685B1. Автор: 김승혁,송용석,최재웅,최성윤. Владелец: 삼성중공업 주식회사. Дата публикации: 2014-11-26.

Apparatus for Reducing Harmful Material and Circulating Fluidized Bed Boiler having the same

Номер патента: KR101839625B1. Автор: 김정훈. Владелец: 현대중공업 주식회사. Дата публикации: 2018-03-16.

Apparatus for generating cluster negative ions, and container, and transportation having the same for storing food

Номер патента: KR100676726B1. Автор: 이준호,이태성. Владелец: 이태성. Дата публикации: 2007-02-02.

Apparatus for cleaning ink jet head and ink-jet printer having the same

Номер патента: KR100772976B1. Автор: 박재찬,박성준,정재우,조수환. Владелец: 삼성전기주식회사. Дата публикации: 2007-11-02.

Apparatus for supporting vehicle in parking facility and parking facility having the same

Номер патента: KR102516071B1. Автор: 김응수,양승철,노명현. Владелец: 주식회사 포스코. Дата публикации: 2023-03-31.

Method and apparatus for leveling a storage structure

Номер патента: CA1186467A. Автор: Delmer R. Nelson. Владелец: Delmer R. Nelson. Дата публикации: 1985-05-07.

Apparatus for detecting amount of remaining sheets and image forming apparatus including the same

Номер патента: US8403318B2. Автор: Satoru Shiraishi. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2013-03-26.

Apparatus for driver assistance and method of controlling the same

Номер патента: US20240010231A1. Автор: Sangyoon HAN. Владелец: HL Klemove Corp. Дата публикации: 2024-01-11.

Apparatus for detecting amount of remaining sheets and image forming apparatus including the same

Номер патента: US20100074637A1. Автор: Satoru Shiraishi. Владелец: Fuji Xerox Co Ltd. Дата публикации: 2010-03-25.

METHOD AND APPARATUS FOR EXTRUDING A COATING UPON A SUBSTRATE SURFACE

Номер патента: US20130145985A1. Автор: Prince Kendall W.,King Gordon L.,Hughes Roderick,Flora Richard B.. Владелец: . Дата публикации: 2013-06-13.

Method and apparatus for inspecting patterns formed on a substrate

Номер патента: US20130307963A1. Автор: Shunji Maeda,Kaoru Sakai,Hisae Shibuya,Hidetoshi Nishiyama. Владелец: Hitachi High Technologies Corp. Дата публикации: 2013-11-21.

METHODS AND APPARATUS FOR DELIVERING PROCESS GASES TO A SUBSTRATE

Номер патента: US20140030433A1. Автор: Ranish Joseph M.. Владелец: . Дата публикации: 2014-01-30.

Method and apparatus for driving a pile into a substrate

Номер патента: US20140056649A1. Автор: Alastair Berry,Melchior Klopper,David Howell Gunter. Владелец: IHC Sea Steel Ltd. Дата публикации: 2014-02-27.

Method and apparatus for forming a structure on a substrate

Номер патента: US20160031043A1. Автор: Stefan Nettesheim,Dariusz Korzec. Владелец: Maschinenfabrik Reinhausen GmbH. Дата публикации: 2016-02-04.

APPARATUSES FOR SECURING A MOUNT TO A SUBSTRATE

Номер патента: US20220290703A1. Автор: HUNG HAI-LUNG,Yang Cheng-Yi,Tong Szu Tao. Владелец: Hewlett-Packard Development Company, L.P.. Дата публикации: 2022-09-15.

APPARATUS FOR PLAYING A GAME COMPRISING A SUBSTRATE DISPLAYING A MATRIX

Номер патента: US20190156617A1. Автор: Reid John Anthony,Smith Glen David. Владелец: . Дата публикации: 2019-05-23.

Method and apparatus for coating a surface of a substrate

Номер патента: US20160168703A1. Автор: Pekka Soininen,Tapani Alasaarela. Владелец: Beneq Group Oy. Дата публикации: 2016-06-16.

METHODS AND APPARATUSES FOR APPLYING ACTIVATED PRIMER TO A SUBSTRATE SURFACE

Номер патента: US20170190917A1. Автор: Philbeck Warren D.,Washington Rodney J.. Владелец: . Дата публикации: 2017-07-06.

METHOD AND APPARATUS FOR DEPOSITING ATOMIC LAYERS ON A SUBSTRATE

Номер патента: US20160201194A1. Автор: Vermeer Adrianus Johannes Petrus Maria,Roozeboom Freddy,VAN DEELEN Joop. Владелец: . Дата публикации: 2016-07-14.

APPARATUS FOR DIRECTLY APPLYING LIQUID TO A SUBSTRATE

Номер патента: US20150231663A1. Автор: Cunningham John,Gibson Robert,Kennett Jonathan. Владелец: . Дата публикации: 2015-08-20.

APPARATUS FOR APPLYING PARTICULATE MATTER TO A SUBSTRATE

Номер патента: US20180228171A1. Автор: Tassy,SR. Tomas. Владелец: . Дата публикации: 2018-08-16.

APPARATUS FOR PLAYING A GAME COMPRISING A SUBSTRATE DISPLAYING A MATRIX

Номер патента: US20170263073A1. Автор: Reid John Anthony,Smith Glen David. Владелец: . Дата публикации: 2017-09-14.

APPARATUS FOR APPLYING PARTICULATE MATTER TO A SUBSTRATE

Номер патента: US20160288144A1. Автор: Tassy,SR. Tomas. Владелец: . Дата публикации: 2016-10-06.

Methods and Apparatuses for Applying Activated Primer to a Substrate Surface

Номер патента: US20180340076A1. Автор: Philbeck Warren D.,Washington Rodney J.. Владелец: . Дата публикации: 2018-11-29.

APPARATUS FOR PLAYING A GAME COMPRISING A SUBSTRATE DISPLAYING A MATRIX

Номер патента: US20200334944A1. Автор: Reid John Anthony,Smith Glen David. Владелец: . Дата публикации: 2020-10-22.

Method and Apparatus for Exposing a Structure on a Substrate

Номер патента: US20150362841A1. Автор: Zelsacher Rudolf,IRSIGLER Peter. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-12-17.

METHOD AND APPARATUS FOR MEASURING ELECTROSTATIC CHARGE OF A SUBSTRATE

Номер патента: US20180356452A1. Автор: Knowles Peter,Agnello Gabriel Pierce,Robert Correy. Владелец: . Дата публикации: 2018-12-13.

Transforring apparatus for revolution and rotation and cooling apparatus of extruding rubber using the same

Номер патента: KR101368545B1. Автор: 황성연. Владелец: (주)한도기공. Дата публикации: 2014-02-27.

Apparatus for rubbing and fabricating apparatus of liquid crystal display device using the same

Номер патента: KR20060020437A. Автор: 김택성. Владелец: 엘지.필립스 엘시디 주식회사. Дата публикации: 2006-03-06.

Apparatus for providing product, display apparatus and method for providing GUI using the same

Номер патента: KR101493748B1. Автор: 남윤석,최재호,노의철. Владелец: 삼성전자주식회사. Дата публикации: 2015-03-02.

Apparatus for feeding carbon of automated casting system and method for using the same apparatus

Номер патента: KR101939596B1. Автор: 박원룡. Владелец: 이레정밀씰 주식회사. Дата публикации: 2019-01-17.

Apparatus for manufacturing synthetic resin sheet and a roller apparatus used in the same

Номер патента: KR100821322B1. Автор: 김소자. Владелец: 김소자. Дата публикации: 2008-04-11.

METHOD AND APPARATUS FOR INSERTING INFORMATION MEDIA INTO A SUBSTRATE SUCH AS FLOWER POTS

Номер патента: FR2727936B3. Автор: Marcus Laurentius V Gijlswijk. Владелец: SMIT JOHANNUS FERDINANDUS. Дата публикации: 1998-02-20.

APPARATUS FOR DETECTION OF THE BALANCE OF A CAR WHEEL FOR BALANCING THE SAME, AND POTENTIOMETRIC SENSOR FOR EQUIPPING SUCH APPARATUS

Номер патента: FR2650889A1. Автор: . Владелец: Moniot Jacques. Дата публикации: 1991-02-15.

METHOD AND APPARATUS FOR APPLYING A COATING TO A SUBSTRATE OR TAPE.

Номер патента: NL8300649A. Автор: . Владелец: Integrated Automation. Дата публикации: 1984-09-17.

Method and apparatus for performing biological reactions on a substrate surface

Номер патента: US6642046B1. Автор: Peter Kahn,Mark W. McGarry,Todd Tuggle,George Hawkins. Владелец: Motorola Inc. Дата публикации: 2003-11-04.

Apparatus for generating high density ozone and waste water treatment apparatus using the same

Номер патента: KR101695194B1. Автор: 이승열. Владелец: 아크엔텍 주식회사. Дата публикации: 2017-01-11.

Method and apparatus for pre-recording tracking information on magnetic media

Номер патента: US4586094A. Автор: Francis Lum,John M. Chambors. Владелец: Irwin Magnetic Systems Inc. Дата публикации: 1986-04-29.

A process and device for feeding a baking apparatus for green pellets

Номер патента: GB1237815A. Автор: . Владелец: Centre de Recherches Metallurgiques CRM Asbl. Дата публикации: 1971-06-30.

Apparatus for transferring toner particles to a substrate

Номер патента: EP0549195A1. Автор: Gerald M. Fletcher. Владелец: Xerox Corp. Дата публикации: 1993-06-30.

Apparatus for attaching a cover to a substrate

Номер патента: EP1491311A1. Автор: Franz Rausch,Otto Waldherr. Владелец: Frimo-Huber Systemtechnik & Co GmbH. Дата публикации: 2004-12-29.

Method and apparatus for driving a pile into a substrate

Номер патента: SG181993A1. Автор: David Howel Gunter,James Ewart Milligan Mack. Владелец: IHC Sea Steel Ltd. Дата публикации: 2012-08-30.

Method and an apparatus for applying a coating on a substrate

Номер патента: TW200526807A. Автор: Franciscus Cornelius Dings,Martin Dinant Bijker,Roland Cornelis Maria Bosch. Владелец: OTB Group BV. Дата публикации: 2005-08-16.

Method and apparatus for applying a material to a substrate

Номер патента: NO308449B1. Автор: G Robert Scott,Edwin L Cutright,Jr Howard W Vogt. Владелец: Philip Morris Prod. Дата публикации: 2000-09-18.

Method and apparatus for forming a coating on a substrate

Номер патента: EP0818557B1. Автор: Pierre Vanden Brande,Alain Weymeersch. Владелец: Cockerill Sambre SA. Дата публикации: 2001-08-29.

Method and apparatus for inspecting patterns formed on a substrate

Номер патента: US8824774B2. Автор: Shunji Maeda,Kaoru Sakai,Hisae Shibuya,Hidetoshi Nishiyama. Владелец: Hitachi High Technologies Corp. Дата публикации: 2014-09-02.

Method and apparatus for transferring an image to a substrate

Номер патента: CN1606506A. Автор: 托马斯V·卡彻. Владелец: Individual. Дата публикации: 2005-04-13.

Process and apparatus for electrolysis

Номер патента: CA1058556A. Автор: Edward H. Cook (Jr.),Alvin T. Emery. Владелец: Hooker Chemicals and Plastics Corp. Дата публикации: 1979-07-17.

Apparatus for directly applying liquid to a substrate

Номер патента: WO2014076441A1. Автор: Robert Gibson,John Cunningham,Jonathan Kennett. Владелец: Rainbow Technology Systems Limited. Дата публикации: 2014-05-22.

Method and apparatus for applying a sheet to a substrate

Номер патента: US9440254B2. Автор: Mischa Megens,Marcus Antonius Verschuuren. Владелец: Koninklijke Philips NV. Дата публикации: 2016-09-13.

Apparatus for providing and directing towards a substrate a beam of high luminous flux.

Номер патента: NL185536B. Автор: . Владелец: Union Carbide Corp. Дата публикации: 1989-12-01.

Method and apparatus for laminating a film onto a substrate.

Номер патента: FI78869C. Автор: Alan Edgar Ranger. Владелец: Thames Board Limited. Дата публикации: 1989-10-10.

Apparatus for determining a temperature of a substrate and methods therefor

Номер патента: KR101277905B1. Автор: 닐 마틴 폴 벤자민,키스 가프. Владелец: 램 리써치 코포레이션. Дата публикации: 2013-06-25.

Apparatus for transferring toner particles onto a substrate

Номер патента: DE69213903D1. Автор: Gerald M Fletcher. Владелец: Xerox Corp. Дата публикации: 1996-10-24.

Methods and apparatuses for applying activated primer to a substrate surface

Номер патента: US10570289B2. Автор: Warren D Philbeck,Rodney J Washington. Владелец: Boeing Co. Дата публикации: 2020-02-25.

Method and apparatus for the thermal embossing of a substrate

Номер патента: EP0706890A2. Автор: Maximilian R. Seidl. Владелец: Avery Dennison Corp. Дата публикации: 1996-04-17.

Method of using a flow cell apparatus for visualizing additive deposition on a substrate.

Номер патента: MX2011000580A. Автор: Renee Danielle Bolden,Dirk Wilhelm Domaschko. Владелец: Procter & Gamble. Дата публикации: 2011-03-02.

Method and apparatus for automatically bonding film to a substrate and cutting the film to desired size

Номер патента: CA2022026A1. Автор: Hiroshi Taguchi,Youji Washizaki. Владелец: Somar Corp. Дата публикации: 1991-07-01.

Method and Apparatus for Imaging of Features on a Substrate

Номер патента: US20110175994A1. Автор: Neil Emans,Auguste Genovesio. Владелец: INSTITUT PASTEUR KOREA. Дата публикации: 2011-07-21.

Apparatus for determining and/or monitoring a process variable

Номер патента: CA2672794C. Автор: Armin Wernet,Roland Dieterle. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2014-01-07.

Method and apparatus for driving a pile into a substrate

Номер патента: CA2784866A1. Автор: David Howel Gunter,James Ewart Milligan Mack. Владелец: IHC Sea Steel Ltd. Дата публикации: 2011-07-14.

Method and apparatus for driving a pile into a substrate

Номер патента: CA2696682A1. Автор: Clive Jones. Владелец: Fast Frames (Uk) Limited. Дата публикации: 2009-02-26.

Apparatus for transferring a film to a substrate

Номер патента: DE102007053449A1. Автор: Peter Rushe,Kai Ruthe-Steinsiek,Anja Jansen. Владелец: Henkel AG and Co KGaA. Дата публикации: 2009-05-20.

Method and apparatus for coating the surface of a substrate

Номер патента: JP6681829B2. Автор: タパニ・アラサーレラ,ペッカ・ソイニネン. Владелец: BENEQ OY. Дата публикации: 2020-04-15.

Apparatus for applying foam material to a substrate

Номер патента: US6981657B1. Автор: Richard A. West. Владелец: Individual. Дата публикации: 2006-01-03.

Apparatuses for securing a mount to a substrate

Номер патента: WO2021021189A1. Автор: Cheng-Yi Yang,Hai-Lung Hung,Szu Tao Tong. Владелец: Hewlett-Packard Development Company, L.P.. Дата публикации: 2021-02-04.

Roll coating apparatus for panel products

Номер патента: US3693585A. Автор: Darrel Lon Reeve,Stanley Mortensen. Владелец: Georgia Pacific LLC. Дата публикации: 1972-09-26.

Method of and apparatus for producing a pattern on a substrate

Номер патента: PL336939A1. Автор: Patrik Johansson,Goeran Johansson. Владелец: Goeran Johansson. Дата публикации: 2000-07-17.

Method and apparatus for driving a pile into a substrate

Номер патента: GB201000096D0. Автор: . Владелец: Fast Frames UK Ltd. Дата публикации: 2010-02-17.

Method and apparatus for performing biological reactions on a substrate surface

Номер патента: US20040018523A1. Автор: George Hawkins. Владелец: Amersham Bioscience AB. Дата публикации: 2004-01-29.

Process and apparatus for for supplying air into a ventilated room

Номер патента: CZ268195A3. Автор: Bernt Nystrom. Владелец: Air Innovation Sweden Ab. Дата публикации: 1996-02-14.

Method and apparatus for depositing monomolecular layers on a substrate

Номер патента: EP0252923B2. Автор: Meir Bartur,Otto Albrecht,Vladimir Rodov. Владелец: Molecular Electronics Corp. Дата публикации: 1997-10-08.

Apparatus for exposing the edge of a substrate

Номер патента: KR100727848B1. Автор: 남정환,오창석. Владелец: 세메스 주식회사. Дата публикации: 2007-06-14.

Method and apparatus for attaching a covering to a substrate

Номер патента: GB0015145D0. Автор: . Владелец: Magna Interior Systems Ltd. Дата публикации: 2000-08-09.

Method and apparatus for transferring an image to a substrate

Номер патента: US20030116047A1. Автор: Thomas Cutcher. Владелец: Cutcher Thomas V.. Дата публикации: 2003-06-26.

Apparatus for coating a film on a substrate

Номер патента: US20110247552A1. Автор: Chih-Hao Huang. Владелец: Cheng Uei Precision Industry Co Ltd. Дата публикации: 2011-10-13.

Method and apparatus for applying a sheet to a substrate

Номер патента: WO2008068701A2. Автор: Mischa Megens,Marcus A. Verschuuren. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2008-06-12.

Method and apparatus for curing a coating of a substrate

Номер патента: CA988453A. Автор: Conrad Sander. Владелец: ROBERT HILDEBRAND MASCHINENBAU GmbH. Дата публикации: 1976-05-04.

Apparatus for maintaining the security of a substrate

Номер патента: US20030087070A1. Автор: Hugues Souparis. Владелец: Hologram Industries SAS. Дата публикации: 2003-05-08.

Target detection apparatus for detecting low-level target and target detection method for detecting the same

Номер патента: US20170045610A1. Автор: Akiyoshi Mizutani. Владелец: Denso Corp. Дата публикации: 2017-02-16.

METHOD AND APPARATUS FOR OPERATING A pile into a substrate

Номер патента: DK2699735T3. Автор: Alastair Berry,Melchior Klopper,David Howell Gunter. Владелец: IHC IQIP UK LTD. Дата публикации: 2015-08-03.

Wheel bearing and a bearing apparatus for a wheel of vehicle of semi-floating type having the wheel bearing

Номер патента: US20070122071A1. Автор: Hisashi Ohtsuki. Владелец: NTN Corp. Дата публикации: 2007-05-31.

Method and apparatus for removing target material from a substrate

Номер патента: RS20050044A. Автор: Christopher Davies. Владелец: Carglass Luxembourg Sarl-Zug Branch,. Дата публикации: 2007-08-03.

Method and apparatus for removing target material from a substrate

Номер патента: AU2003248963A1. Автор: Christopher Davies. Владелец: Carglass Luxembourg SARL Zug Branch. Дата публикации: 2004-02-09.

Method and apparatus for removing target material from a substrate

Номер патента: PL373041A1. Автор: Christopher Davies. Владелец: Carglass Luxembourg Sarl-Zug Branch. Дата публикации: 2005-08-08.

Method and apparatus for removing target material from a substrate

Номер патента: IL166346A0. Автор: . Владелец: Carglass Luxembourg Sarlzug Br. Дата публикации: 2006-01-16.

Method and apparatus for removing target material from a substrate

Номер патента: HRP20050057A2. Автор: Davies Christopher. Владелец: Carglass Luxembourg Sarl - Zug Branch. Дата публикации: 2005-02-28.

Method and apparatus for collecting key-value pair data

Номер патента: US20210081412A1. Автор: Haibo Hu,Qingqing YE. Владелец: Hong Kong Polytechnic University HKPU. Дата публикации: 2021-03-18.

Apparatus for the control of two separate mechanisms for translational movement of the same bar

Номер патента: MY137872A. Автор: Rolf Sch Xc Rer. Владелец: Lns Sa. Дата публикации: 2009-03-31.

Method and apparatus for depositing conducting oxide on a substrate

Номер патента: CA1192860A. Автор: James B. Webb,Digby F. Williams,Margaret A. Buchanan. Владелец: NATIONAL RESEARCH COUNCIL OF CANADA. Дата публикации: 1985-09-03.

Apparatus for use in processing a substance in a fluidized bed

Номер патента: CA1190386A. Автор: Gerald Moss. Владелец: Exxon Research and Engineering Co. Дата публикации: 1985-07-16.

An Improved Apparatus for Sterilizing Liquids, and for Use as a Receptacle for Containing the same.

Номер патента: GB189718816A. Автор: Lucien Fremy. Владелец: Individual. Дата публикации: 1897-11-20.

A New or Improved Apparatus for Extracting Trees from the Ground and for Transporting and Replanting the same.

Номер патента: GB190225590A. Автор: Malcolm Ryder. Владелец: Individual. Дата публикации: 1903-11-12.

Traction apparatus for footwear

Номер патента: CA132056S. Автор: . Владелец: Individual. Дата публикации: 2010-05-25.

An apparatus for covering or for protecting a substrate

Номер патента: AU2008200342A1. Автор: Grant Dowsett. Владелец: Cgm Tech Pty Ltd. Дата публикации: 2008-08-07.

Method of and apparatus for applying a coating to a substrate

Номер патента: ZM10868A1. Автор: . Владелец: African Explosives & Chem. Дата публикации: 1969-06-17.

Method and apparatus for fabricating honeycomb insulating material

Номер патента: MY102047A. Автор: B Colson Wendell. Владелец: Hunter Douglas N V. Дата публикации: 1992-03-31.

Improved Apparatus for Electrically Controlling the Supply of Gas and other Fluids, and for like purposes.

Номер патента: GB189524885A. Автор: James Randolph Courtenay Gale. Владелец: Individual. Дата публикации: 1896-12-12.

Apparatus for application of foam to a substrate

Номер патента: MY8200011A. Автор: Andrew Tainter Walter,Danold Harold Ashmus,William Wirt Rankin. Владелец: Union Carbide Corp. Дата публикации: 1982-12-31.

An Apparatus for Cutting or Digging Through a Substrate, and a Hitch Assembly

Номер патента: AU2004229068A1. Автор: Mirko Anton Briski,John James Flint. Владелец: A1 HYDRAULIC CYLINDER SERVICES. Дата публикации: 2005-06-02.

Improvements in Automatic Block Apparatus for Railways.

Номер патента: GB189905744A. Автор: Theodor Tiesenhausen. Владелец: Individual. Дата публикации: 1900-03-16.

COMPOSITE TRANSDUCER APPARATUS AND SYSTEM FOR PROCESSING A SUBSTRATE AND METHOD OF CONSTRUCTING THE SAME

Номер патента: US20130167881A1. Автор: Korbler John A.,Novak Richard. Владелец: . Дата публикации: 2013-07-04.

A Process and Apparatus for the Elimination of Chromium and Silica from Iron Ore containing the same.

Номер патента: GB190700516A. Автор: John Joseph Hart,William Henry Wickham. Владелец: Individual. Дата публикации: 1908-01-08.

APPARATUS FOR GENERATING A VOLTAGE AND NON-VOLATILE MEMORY DEVICE HAVING THE SAME

Номер патента: US20120014182A1. Автор: NOH Yu Jong,WANG In Soo,KOO Bon Kwang,KWON Lee Hyun. Владелец: . Дата публикации: 2012-01-19.

Improvements in Apparatus for Filling Bottles and other Vessels with Liquids and for Stoppering the same

Номер патента: GB190211142A. Автор: Francis James Fletcher. Владелец: Individual. Дата публикации: 1903-04-23.

Improvements in Apparatus for Filling Bottles and other Vessels with Liquids and for Stoppering the same

Номер патента: GB190222934A. Автор: Francis James Fletcher. Владелец: Individual. Дата публикации: 1903-09-24.

METHOD AND APPARATUS FOR INSPECTING PATTERNS FORMED ON A SUBSTRATE

Номер патента: US20120002860A1. Автор: Sakai Kaoru,Shibuya Hisae,Maeda Shunji,Nishiyama Hidetoshi. Владелец: . Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR INSPECTING A SURFACE OF A SUBSTRATE

Номер патента: US20120081701A1. Автор: Sasazawa Hideaki,Yanaka Yu,Horie Kiyotaka,Ishiguro Takayuki. Владелец: . Дата публикации: 2012-04-05.

APPARATUS FOR DETECTING RADIATION SOURCE AND METHOD OF DETECTING RADIATION SOURCE USING THE SAME APPARATUS

Номер патента: US20120091356A1. Автор: YOON Sae Won,Oh Pil Jae,Chun Kook Jin. Владелец: . Дата публикации: 2012-04-19.

APPARATUS FOR ESTIMATING OPEN CIRCUIT VOLTAGE OF BATTERY, APPARATUS FOR ESTIMATING STATE OF CHARGE OF BATTERY, AND METHOD FOR CONTROLLING THE SAME

Номер патента: US20120191390A1. Автор: . Владелец: . Дата публикации: 2012-07-26.

CLEANING APPARATUS FOR SEMICONDUCTOR MANUFACTURING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20120208351A1. Автор: . Владелец: FUJITSU LIMITED. Дата публикации: 2012-08-16.

METHOD AND APPARATUS FOR DRIVING A PILE INTO A SUBSTRATE

Номер патента: US20120301226A1. Автор: Mack James Ewart Milligan,Gunter David Howel. Владелец: IHC SEA STEEL LTD.. Дата публикации: 2012-11-29.

Method and apparatus for bonding circuit elements to a substrate

Номер патента: CA1022259A. Автор: John J. Kennedy,Edward R. Doubek (Jr.),Donald K. Sandmore. Владелец: Western Electric Co Inc. Дата публикации: 1977-12-06.