반도체 소자의 소자분리막 형성방법

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Device isolation insulating film formation method of semiconductor device

Номер патента: KR970013198A. Автор: 장세억,송태식. Владелец: 김주용. Дата публикации: 1997-03-29.

Device isolation film formation method of semiconductor device

Номер патента: KR100294641B1. Автор: 김하영,엄재두. Владелец: 박종섭. Дата публикации: 2001-10-19.

Method of forming isolation film of semiconductor device

Номер патента: US20040127035A1. Автор: Sung Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-07-01.

Method of forming device isolation film in semiconductor device

Номер патента: US20040266132A1. Автор: Cha Dong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-12-30.

Method for Manufacturing Semiconductor Device

Номер патента: US20190006179A1. Автор: Cheng Long ZHANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-01-03.

Method for manufacturing semiconductor device

Номер патента: US10395927B2. Автор: Cheng Long ZHANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-08-27.

Device isolation film formation method of semiconductor device

Номер патента: KR100498590B1. Автор: 이기엽,송일석. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-09-30.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR970003776A. Автор: 김승준. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-01-28.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR19990004576A. Автор: 이승무,김시범. Владелец: 현대전자산업 주식회사. Дата публикации: 1999-01-15.

Method for fabricating semiconductor devices

Номер патента: US7776622B2. Автор: Kouichi Nagai. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-08-17.

Manufacturing method for semiconductor device

Номер патента: US20150171103A1. Автор: Kazuhiko Sato,Hiroshi Ishida. Владелец: Synaptics Display Devices GK. Дата публикации: 2015-06-18.

Method for fabricating partial SOI substrate

Номер патента: US7927965B2. Автор: Myung-Ok Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-04-19.

Method for fabricating partial soi substrate

Номер патента: US20100120218A1. Автор: Myung-Ok Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-05-13.

Method for manufacturing bonded semiconductor wafer

Номер патента: EP4425531A1. Автор: Junya Ishizaki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-09-04.

Method for forming FinFET devices

Номер патента: US09443729B1. Автор: Martin Christopher Holland,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-13.

Method for forming semiconductor device

Номер патента: US20130323911A1. Автор: Young Man Cho. Владелец: SK hynix Inc. Дата публикации: 2013-12-05.

Method for coating cavities of semiconductor substrates

Номер патента: US20160365241A1. Автор: Andreas Fehkührer. Владелец: EV Group E Thallner GmbH. Дата публикации: 2016-12-15.

Method for coating cavities of semiconductor substrates

Номер патента: US09786487B2. Автор: Andreas Fehkührer. Владелец: EV Group E Thallner GmbH. Дата публикации: 2017-10-10.

Device isolation film formation method of semiconductor device

Номер патента: KR100470160B1. Автор: 피승호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-04-06.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR100305019B1. Автор: 이동덕. Владелец: 박종섭. Дата публикации: 2001-10-19.

Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO

Номер патента: US20070072424A1. Автор: Jung-hyun Lee,Sang-Bong Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-29.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR960019655A. Автор: 김진태,최장섭,오태원. Владелец: 현대전자산업 주식회사. Дата публикации: 1996-06-17.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR970003775A. Автор: 김승준,신기수. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-01-28.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR970030645A. Автор: 이승무,권성구. Владелец: 김주용. Дата публикации: 1997-06-26.

Semiconductor device and method for fabricating the same

Номер патента: US20220367661A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2022-11-17.

Method for manufacturing semiconductor device

Номер патента: US20170076984A1. Автор: Hisashi Onodera. Владелец: Toshiba Corp. Дата публикации: 2017-03-16.

Method for forming isolation member in trench of semiconductor substrate

Номер патента: US09570338B2. Автор: Yan Yan,Jun Yang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-02-14.

Fabrication of semiconductor structures

Номер патента: US09704757B1. Автор: Daniele Caimi,Lukas Czornomaz,Jean Fompeyrine,Vladimir DJARA,Veeresh Deshpande. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Semiconductor devices having equal thickness gate spacers

Номер патента: US20190123167A1. Автор: CHENG Chi,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2019-04-25.

Semiconductor devices having equal thickness gate spacers

Номер патента: US20180240889A1. Автор: CHENG Chi,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2018-08-23.

Method for forming trench isolation using selective epitaxial growth and part oxidation in semiconductor device

Номер патента: KR100532406B1. Автор: 안동호. Владелец: 삼성전자주식회사. Дата публикации: 2005-11-30.

Device isolation insulating film formation method of a semiconductor device

Номер патента: KR19980060643A. Автор: 김충배,박용준. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-10-07.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Semiconductor device and method for forming the same

Номер патента: US09558990B2. Автор: KYUNG Kyu Min. Владелец: SK hynix Inc. Дата публикации: 2017-01-31.

Method for forming a gate for semiconductor devices

Номер патента: US6448166B2. Автор: Heung Jae Cho,Dae Gyu Park,Kwan Yong Lim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-09-10.

Method for forming an oxynitride layer

Номер патента: US20030077915A1. Автор: Chia-Lin Ku,Yung-Hsien Wu. Владелец: Promos Technologies Inc. Дата публикации: 2003-04-24.

Method for the formation of a planarizing coating film on substrate surface

Номер патента: US20010003068A1. Автор: Masakazu Kobayashi,Takako Hirosaki,Etsuko Iguchi. Владелец: Individual. Дата публикации: 2001-06-07.

Methods for wafer bonding

Номер патента: US20240190701A1. Автор: Chien-Wei Chang,Yi-Hsun CHIU,Ren-Dou Lee,Yi-Chih Chang,Yuan-Hsin CHI,Ya-Jen Sheuh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-13.

Semiconductor device and method of manufacturing the same

Номер патента: US7964464B2. Автор: Takashi Sakuma. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2011-06-21.

Method for forming insulation film

Номер патента: US20220235456A1. Автор: Nobuo Matsuki. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-07-28.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR19980082526A. Автор: 조병진,임찬,장세억. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-12-05.

PROCESS FOR FORMING A LAYER OF A NATURAL OXIDE ON A SUBSTRATE AND SEMICONDUCTOR DEVICE THUS FORMED

Номер патента: FR2517121A1. Автор: John W Peters. Владелец: Hughes Aircraft Co. Дата публикации: 1983-05-27.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US20050054176A1. Автор: Jong Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-03-10.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US7081396B2. Автор: Jong Goo Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-07-25.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4148769A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-03-15.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Method for forming isolation film

Номер патента: US6656851B1. Автор: Young-Kuk Cha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-12-02.

Method for forming a capacitor of a semiconductor device

Номер патента: US20030109103A1. Автор: Kyong Kim,Ho-Jung Sun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Power line layout structure of semiconductor device and method for forming the same

Номер патента: US09793210B2. Автор: Jae Hwan Kim. Владелец: SK hynix Inc. Дата публикации: 2017-10-17.

Semiconductor device and method for forming the same

Номер патента: US09553167B2. Автор: Kyoung Chul JANG. Владелец: SK hynix Inc. Дата публикации: 2017-01-24.

Methods for manufacturing shallow trench isolation layers of semiconductor devices

Номер патента: US20060024913A1. Автор: Bo Jo. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-02-02.

Method for manufacturing semiconductor structure

Номер патента: US10593550B2. Автор: Erhu ZHENG,Jinhe Qi. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-03-17.

Method for manufacturing semiconductor structure

Номер патента: US20180342393A1. Автор: Erhu ZHENG,Jinhe Qi. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-11-29.

Dry etching method of semiconductor substrate and dry etching method of silicon oxide film

Номер патента: EP4152362A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-03-22.

Manufacturing method of semiconductor device

Номер патента: US20200279917A1. Автор: Yu-Chih Su,Yao-Jhan Wang,Che-Hsien Lin,Chun-jen Huang,Cheng-Yeh Huang,Te-Chang Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2020-09-03.

Transistor manufacturing method for reducing the area of device isolation region

Номер патента: KR970018362A. Автор: 김기남,노병혁. Владелец: 김광호. Дата публикации: 1997-04-30.

Merged gate and source/drain contacts in a semiconductor device

Номер патента: US09960256B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-01.

Semiconductor device and method for fabricating the same

Номер патента: US11935934B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-03-19.

Method for forming fine patterns of semiconductor device

Номер патента: US09666434B2. Автор: DAE-YONG KANG,Jaehee Kim,Joonsoo PARK,Soonmok Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-30.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4160657A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-04-05.

Method for forming field oxide film of semiconductor device

Номер патента: US6013561A. Автор: Jong Choul Kim,Byung Jin Cho,Se Aug Jang. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-01-11.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US20080138958A1. Автор: Sang Don Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-06-12.

Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants

Номер патента: US09552982B2. Автор: Adrien Lavoie. Владелец: Novellus Systems Inc. Дата публикации: 2017-01-24.

Methods for forming fin field-effect transistors

Номер патента: US12068199B2. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Chia Tai Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Nonvolatile semiconductor memory device and method for manufacturing the same

Номер патента: US9012969B2. Автор: Takeshi Kamigaichi. Владелец: Toshiba Corp. Дата публикации: 2015-04-21.

Method of semiconductor device isolation

Номер патента: US20030064597A1. Автор: Jae Kwon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-04-03.

Method for fabricating semiconductor device

Номер патента: US20010005616A1. Автор: Jae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2001-06-28.

Tools and methods for producing nanoantenna electronic devices

Номер патента: US20200006053A1. Автор: W. Dennis Slafer. Владелец: MicroContinuum Inc. Дата публикации: 2020-01-02.

Tools and methods for producing nanoantenna electronic devices

Номер патента: US09589797B2. Автор: W. Dennis Slafer. Владелец: MicroContinuum Inc. Дата публикации: 2017-03-07.

Manufacturing method of semiconductor structure

Номер патента: US09583394B2. Автор: Chao-Hung Lin,Jyh-Shyang Jenq,Shih-Hung Tsai,Li-Wei Feng,Hon-Huei Liu,Nan-Yuan Huang. Владелец: United Microelectronics Corp. Дата публикации: 2017-02-28.

Bed structure underlying electrode pad of semiconductor device and method for manufacturing same

Номер патента: US20010040242A1. Автор: Noboru Koike. Владелец: Toshiba Corp. Дата публикации: 2001-11-15.

Method for preparing semiconductor layer

Номер патента: US20240213021A1. Автор: Yi-Chia CHOU,Chang-Hsun HUANG. Владелец: National Taiwan University NTU. Дата публикации: 2024-06-27.

Semiconductor device isolation structures

Номер патента: US20130154052A1. Автор: Sukesh Sandhu. Владелец: Micron Technology Inc. Дата публикации: 2013-06-20.

Method for creating cavities in silicon carbide and other semiconductor substrates

Номер патента: US11756783B1. Автор: Joel WONG,Florian G. Herrault,Eric Prophet. Владелец: HRL LABORATORIES LLC. Дата публикации: 2023-09-12.

Method for forming a thin-film transistor

Номер патента: US09799752B1. Автор: Shelby Forrester Nelson,Carolyn Rae Ellinger. Владелец: Eastman Kodak Co. Дата публикации: 2017-10-24.

Semiconductor device and manufacturing method thereof

Номер патента: US09437620B2. Автор: Yoshitaka Dozen,Takuya Tsurume. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-09-06.

Method for the insulation of polysilicon film in semiconductor device

Номер патента: US5376576A. Автор: Sang H. Park,Chang S. Moon,Dae I. Park. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1994-12-27.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20220319908A1. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089A1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2003-12-17.

Systems and methods for improved carbon adhesion

Номер патента: WO2022192518A1. Автор: Ganesh Balasubramanian,Tae Won Kim,Sudha S. RATHI. Владелец: Applied Materials, Inc.. Дата публикации: 2022-09-15.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089B1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2007-12-05.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Methods for forming on-chip capacitor structures in semiconductor devices

Номер патента: US12108603B2. Автор: LIANG Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-10-01.

Tungsten plug structure of semiconductor device and method for forming the same

Номер патента: US20070102824A1. Автор: In Chun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Semiconductor device and method for forming the same

Номер патента: US09893071B2. Автор: Jae Soo Kim,Jae Chun Cha. Владелец: SK hynix Inc. Дата публикации: 2018-02-13.

Semiconductor structure and method for forming same

Номер патента: US20210343581A1. Автор: Hongmin WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-11-04.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Structure and formation method of semiconductor device structure

Номер патента: US09905633B1. Автор: Chi-Han YANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-27.

Method for forming a semiconductor image sensor device

Номер патента: US09570494B1. Автор: Sungkwon C. Hong,Gordon M. Grivna,David T. Price,Rick Jerome. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2017-02-14.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Semiconductor device and method for forming the same

Номер патента: US09768176B2. Автор: Min Soo Yoo. Владелец: SK hynix Inc. Дата публикации: 2017-09-19.

Methods for forming fine patterns of semiconductor device

Номер патента: US09627202B2. Автор: DAE-YONG KANG,Sung-Wook Hwang,Joonsoo PARK,Soonmok Ha,Byungjun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Method for forming bit line of semiconductor device

Номер патента: US20040067656A1. Автор: Sung Jin,Jai Roh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-04-08.

FinFET-based ESD devices and methods for forming the same

Номер патента: US09559008B2. Автор: Jen-Chou Tseng,Wun-Jie Lin,Ching-Hsiung Lo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-31.

Semiconductor device and method for fabricating the same

Номер патента: US20230253242A1. Автор: Jun Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2023-08-10.

Methods for improving the quality of structures comprising semiconductor materials

Номер патента: EP2364504A1. Автор: Chantal Arena. Владелец: Soitec SA. Дата публикации: 2011-09-14.

Film-forming method, film-forming device, and crystalline oxide film

Номер патента: EP4407660A1. Автор: Takenori Watabe,Hiroshi Hashigami,Takahiro Sakatsume. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-07-31.

Hybrid material post-cmp brushes and methods for forming the same

Номер патента: EP3685428A1. Автор: Bradley Scott Withers,Erik Scott Nelson,Brent Allan Best,Yuyan Luo. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2020-07-29.

Hybrid material post-cmp brushes and methods for forming the same

Номер патента: EP4207261A1. Автор: Bradley Scott Withers,Erik Scott Nelson,Brent Allan Best,Yuyan Luo. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2023-07-05.

Hybrid material post-cmp brushes and methods for forming the same

Номер патента: WO2019060082A1. Автор: Bradley Scott Withers,Erik Scott Nelson,Brent Allan Best,Yuyan Luo. Владелец: ILLINOIS TOOL WORKS INC.. Дата публикации: 2019-03-28.

Methods for forming a barrier layer with periodic concentrations of elements and structures resulting thereform

Номер патента: US09953866B1. Автор: Igor C. Ivanov. Владелец: Lam Research Corp. Дата публикации: 2018-04-24.

Method for semiconductor die edge protection and semiconductor die separation

Номер патента: US11764096B2. Автор: Andrew M. Bayless,Brandon P. Wirz. Владелец: Micron Technology Inc. Дата публикации: 2023-09-19.

Preparation method for leads of semiconductor structure, and semiconductor structure

Номер патента: US12040269B2. Автор: Chung Yen Chou. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-16.

Method for Forming Tantalum Nitride Film

Номер патента: US20090159431A1. Автор: Kyuzo Nakamura,Tomoyasu Kondo,Harunori Ushikawa,Satoru Toyoda,Narishi Gonohe. Владелец: Individual. Дата публикации: 2009-06-25.

Semiconductor structure and method for manufacturing semiconductor structure

Номер патента: EP4084053A1. Автор: Chih-Wei Chang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-11-02.

Method for fabricating lateral semiconductor device

Номер патента: US7589347B2. Автор: John Henry Jefferson,Geoffrey Richard Nash,Keith James Nash. Владелец: Qinetiq Ltd. Дата публикации: 2009-09-15.

Semiconductor devices and methods of manufacturing the same

Номер патента: US09929160B1. Автор: Sung-dae Suk,Seungmin Song,Yong-Suk Tak,Juri LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-03-27.

Method for manufacturing semiconductor devices having a metallisation layer

Номер патента: US09887152B2. Автор: Rudolf Zelsacher,Paul Ganitzer. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-02-06.

Double-sided marking of semiconductor wafers and method of using a double-sided marked semiconductor wafer

Номер патента: GB201014264D0. Автор: . Владелец: DOUBLECHECK SEMICONDUCTORS Pte Ltd. Дата публикации: 2010-10-13.

Interconnection structure, semiconductor device with interconnection structure and method for fabricating the same

Номер патента: US20230046051A1. Автор: Jong Su Kim. Владелец: SK hynix Inc. Дата публикации: 2023-02-16.

PROCESS FOR FORMING A BURIED LAYER AND A COLLECTOR REGION IN A MONOLITHIC SEMICONDUCTOR DEVICE

Номер патента: FR2575330B1. Автор: Salvatore Musumeci. Владелец: SGS Microelettronica SpA. Дата публикации: 1989-08-18.

Laser lift-off method for separating substrate and semiconductor-epitaxial structure

Номер патента: US11784094B2. Автор: Jing-Cheng Lin,Tsung-Hua Hsieh. Владелец: Sky Tech Inc. Дата публикации: 2023-10-10.

Method for providing a deep connection to a substrate or buried layer in a semiconductor device

Номер патента: US7262111B1. Автор: Richard W. Foote. Владелец: National Semiconductor Corp. Дата публикации: 2007-08-28.

Method for manufacturing semiconductor device including inline inspection

Номер патента: US09406571B2. Автор: Takuya Yoshida,Kazutoyo Takano. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-08-02.

METHOD FOR LATERAL PATTERNING OF A PATTERN LAYER WITH THREE-DIMENSIONAL PATTERN ELEMENTS, AND SEMICONDUCTOR DEVICE

Номер патента: US20170092719A1. Автор: MANDL Martin,Schimpke Tilman. Владелец: . Дата публикации: 2017-03-30.

Semiconductor device and method of manufacturing the same

Номер патента: US9153658B2. Автор: Shigetoshi Sugawa,Akinobu Teramoto,Rihito Kuroda. Владелец: Tohoku University NUC. Дата публикации: 2015-10-06.

Backside and sidewall metallization of semiconductor devices

Номер патента: US20240194486A1. Автор: Wen Hung HUANG,Yufu Liu,Kuan-Hsiang Mao,Che Ming Fang. Владелец: NXP BV. Дата публикации: 2024-06-13.

Method for producing a semiconductor component and a semiconductor component

Номер патента: US09887180B2. Автор: Tansen Varghese,Matthew Meitl,Christopher Bower. Владелец: X Celeprint Ltd. Дата публикации: 2018-02-06.

Method and System for Wafer Level Testing of Semiconductor Chips

Номер патента: US20120013359A1. Автор: Zhaojun SHAO. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-01-19.

Method for fabricating a semiconductor package, semiconductor package and embedded pcb module

Номер патента: US20210313273A1. Автор: Frank Daeche,Richard Knipper. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2021-10-07.

Method for singulating an assemblage into semiconductor chips, and semiconductor chip

Номер патента: US09728459B2. Автор: Mathias Kaempf. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2017-08-08.

Method for producing semiconductor components, and semiconductor component

Номер патента: US20220246431A1. Автор: Florian Krippendorf,Constantin Csato. Владелец: MI2 Factory GmbH. Дата публикации: 2022-08-04.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US09947553B2. Автор: Mamoru Yamagami,Yasuhiro Fuwa. Владелец: ROHM CO LTD. Дата публикации: 2018-04-17.

Singulation method for semiconductor package with plating on side of connectors

Номер патента: US09818676B2. Автор: Saravuth Sirinorakul,Somchai Nondhasitthichai. Владелец: UTAC Thai Ltd. Дата публикации: 2017-11-14.

Method for forming scribe line on semiconductor wafer, and scribe line forming device

Номер патента: US20040214408A1. Автор: Haruo Wakayama. Владелец: Mitsuboshi Diamond Industrial Co Ltd. Дата публикации: 2004-10-28.

Method for wafer-level semiconductor die attachment

Номер патента: WO2019073304A1. Автор: Yee Loy Lam. Владелец: Denselight Semiconductors Pte. Ltd.. Дата публикации: 2019-04-18.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US9000560B2. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-04-07.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US20150179526A1. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-06-25.

Method for controlling sheet resistance of poly in fabrication of semiconductor device

Номер патента: US20090077509A1. Автор: Nan Soon CHOI. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-03-19.

Method for Forming a Superjunction Transistor Device

Номер патента: US20200044019A1. Автор: Franz Hirler,Armin Willmeroth,Wolfgang Jantscher,Yann Ruet. Владелец: Infineon Technologies Dresden GmbH and Co KG. Дата публикации: 2020-02-06.

Semiconductor package and method for forming the same

Номер патента: US20170117232A1. Автор: Tieh-Chiang Wu,Shing-Yih Shih. Владелец: Micron Technology Inc. Дата публикации: 2017-04-27.

Methods for plasma processing

Номер патента: US09443702B2. Автор: Stephen E. Savas,Carl Galewski,Allan B. Wiesnoski,Sai Mantripragada,Sooyun Joh. Владелец: AIXTRON SE. Дата публикации: 2016-09-13.

Low voltage high performance semiconductor devices and methods

Номер патента: US20010039096A1. Автор: Luan Tran. Владелец: Individual. Дата публикации: 2001-11-08.

A method for plating a support for a silicon wafer in the manufacture of semiconductor devices

Номер патента: GB1022604A. Автор: . Владелец: Texas Instruments Inc. Дата публикации: 1966-03-16.

Processing method for substrate

Номер патента: US11823907B2. Автор: Kyung Park,Young Jun Kim,Won Jun JANG. Владелец: Wonik Ips Co Ltd. Дата публикации: 2023-11-21.

Processing method for substrate

Номер патента: US20240038537A1. Автор: Kyung Park,Young Jun Kim,Won Jun JANG. Владелец: Wonik Ips Co Ltd. Дата публикации: 2024-02-01.

Processing method for substrate

Номер патента: US20240038538A1. Автор: Kyung Park,Young Jun Kim,Won Jun JANG. Владелец: Wonik Ips Co Ltd. Дата публикации: 2024-02-01.

Dispatch method for production line in semiconductor process, storage medium and semiconductor device

Номер патента: US11988969B2. Автор: Chin-Chang Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

Semiconductor module and method for manufacturing the same

Номер патента: US11437302B2. Автор: Naoyuki Kanai,Yuichiro HINATA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-09-06.

Oxide film forming device

Номер патента: US20240344200A1. Автор: Toshinori Miura. Владелец: Meidensha Corp. Дата публикации: 2024-10-17.

Fabrication method of semiconductor device

Номер патента: US7803716B2. Автор: Hiroshi Tanaka. Владелец: Renesas Electronics Corp. Дата публикации: 2010-09-28.

Method for manufacturing semiconductor structure, and semiconductor structure

Номер патента: EP4276894A1. Автор: Jie Bai,Kang You. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-15.

Fabrication method of semiconductor device

Номер патента: US7439190B2. Автор: Hiroshi Tanaka. Владелец: Renesas Technology Corp. Дата публикации: 2008-10-21.

Fabrication method of semiconductor device

Номер патента: US20080299752A1. Автор: Hiroshi Tanaka. Владелец: Individual. Дата публикации: 2008-12-04.

Method for forming step channel of semiconductor device

Номер патента: US20060141800A1. Автор: Young Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for forming step channel of semiconductor device

Номер патента: US7309656B2. Автор: Young Man Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Method for fabricating device structures having a variation in electrical conductivity

Номер патента: US20090203185A1. Автор: Shyue Seng Tan,Elgin Kiok Boone Quek,Lee Wee Teo. Владелец: Individual. Дата публикации: 2009-08-13.

Method for fabricating mask and device isolation film

Номер патента: US20080032210A1. Автор: Jae-Young Choi. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-02-07.

Semiconductor device with buried metal layer

Номер патента: US09673107B2. Автор: Yun Ik Son,Min Soo Yoo. Владелец: SK hynix Inc. Дата публикации: 2017-06-06.

Resistive random access memory devices and methods for forming the same

Номер патента: US20210151504A1. Автор: Frederick Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2021-05-20.

Device and method for on-line measurement of wafer grinding force

Номер патента: US11404329B2. Автор: Pei Chen,Fei Qin,Shuai ZHAO,Tong An,Lixiang ZHANG,Yanwei Dai. Владелец: BEIJING UNIVERSITY OF TECHNOLOGY. Дата публикации: 2022-08-02.

Device And Method For On-line Measurement Of Wafer Grinding Force

Номер патента: US20210407863A1. Автор: Pei Chen,Fei Qin,Shuai ZHAO,Tong An,Lixiang ZHANG,Yanwei Dai. Владелец: BEIJING UNIVERSITY OF TECHNOLOGY. Дата публикации: 2021-12-30.

Method for reducing nonuniformity of forward voltage of semiconductor wafer

Номер патента: US20160005622A1. Автор: Shinya Iwasaki. Владелец: Toyota Motor Corp. Дата публикации: 2016-01-07.

Laser scribe on front side of semiconductor wafer

Номер патента: US20040211750A1. Автор: Byron Palla. Владелец: Texas Instruments Inc. Дата публикации: 2004-10-28.

Method for surface treatment of substrate and method for forming fine wiring

Номер патента: US20080311312A1. Автор: Jae-Woo Joung,Hyun-Chul Jung. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2008-12-18.

Method for surface treatment of substrate and method for forming fine wiring

Номер патента: US7776407B2. Автор: Jae-Woo Joung,Hyun-Chul Jung. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2010-08-17.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Semiconductor device having a device isolation trench

Номер патента: US20070264789A1. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-11-15.

Method for forming thin films of semiconductor devices

Номер патента: US20030124760A1. Автор: Seok Lee,Sung Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-07-03.

Method for forming a silicide layer of semiconductor device

Номер патента: US20030119309A1. Автор: Jeong Lee,Chang Ryoo,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-26.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Formation method of semiconductor device structure

Номер патента: US09870955B2. Автор: Che-Cheng Chang,Yi-Jen Chen,Yung-Jung Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Formation method of semiconductor device structure with gate stacks

Номер патента: US12132111B2. Автор: Tsung-Yu CHIANG,Ya-Wen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Method for fabricating semiconductor transistor device

Номер патента: US20030119269A1. Автор: Tae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Semiconductor structures and methods for forming the same

Номер патента: US12033933B2. Автор: Kaimin Lv. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-09.

Method for manufacturing semiconductor elemental device

Номер патента: US20060177984A1. Автор: Koichi Kishiro. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2006-08-10.

Fuse of Semiconductor Device and Method for Forming the Same

Номер патента: US20090236687A1. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-09-24.

Production method for device

Номер патента: US7648889B2. Автор: Kazuma Sekiya. Владелец: Disco Corp. Дата публикации: 2010-01-19.

Fuse of semiconductor device and method for forming the same

Номер патента: US8017454B2. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-09-13.

Tilt implantation for forming finfets

Номер патента: US20150357442A1. Автор: Tze-Liang Lee,Zi-Wei FANG,Tsan-Chun Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-12-10.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US7855125B2. Автор: Takaoki Sasaki. Владелец: Seiko Epson Corp. Дата публикации: 2010-12-21.

Method for cutting a wafer

Номер патента: US20040139601A1. Автор: Simon Shiau. Владелец: Kingpak Technology Inc. Дата публикации: 2004-07-22.

Method for generating aging model and manufacturing semiconductor chip using the same

Номер патента: US20200151294A1. Автор: Moon Su Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-05-14.

Wafer treatment method for protecting fuse box of semiconductor chip

Номер патента: US20030080360A1. Автор: Jae-Il Lee,Jeong-Ho Bang,Hyo-geun Chae,Young-Moon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-05-01.

Method for generating aging model and manufacturing semiconductor chip using the same

Номер патента: US10796050B2. Автор: Moon Su Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-10-06.

Method for fabricating a semiconductor chip panel

Номер патента: US09953846B2. Автор: Edward Fuergut,Daniel Porwol. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-24.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09837376B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2017-12-05.

Cutting method and method of manufacturing semiconductor device

Номер патента: US20050012193A1. Автор: Kiyoshi Mita,Koujiro Kameyama. Владелец: Individual. Дата публикации: 2005-01-20.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US20160079102A1. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-03-17.

Method for recovering bare semiconductor chips from plastic packaged modules

Номер патента: MY113986A. Автор: Damiot Pascale. Владелец: Ibm. Дата публикации: 2002-07-31.

Package structure and method for fabricating same

Номер патента: US20240047437A1. Автор: Mingxing ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Semiconductor devices

Номер патента: US20230275091A1. Автор: Yang Xu,Sanggil Lee,Namkyu CHO,Sihyung Lee,Dongmyoung KIM,Kanghun Moon,Hyunkwan Yu. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-08-31.

Method for producing optoelectronic devices

Номер патента: US12112968B2. Автор: Ralph Wagner. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-10-08.

Semiconductor devices

Номер патента: US12062662B2. Автор: Yang Xu,Sanggil Lee,Namkyu CHO,Sihyung Lee,Dongmyoung KIM,Kanghun Moon,Hyunkwan Yu. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-13.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09490231B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2016-11-08.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US09448065B2. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-09-20.

Semiconductor manufacturing apparatus and method for controlling operation of semiconductor manufacturing apparatus

Номер патента: US20240203768A1. Автор: Kazuma Ideguchi. Владелец: Ebara Corp. Дата публикации: 2024-06-20.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Secure inspection and marking of semiconductor wafers for trusted manufacturing thereof

Номер патента: US20210134682A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2021-05-06.

Method for manufacturing a semiconductor element

Номер патента: US20060286733A1. Автор: Masahiro Hayashi,Akihiro Shiraishi,Takahisa Akiba. Владелец: Seiko Epson Corp. Дата публикации: 2006-12-21.

Semiconductor Device and Method

Номер патента: US20230411318A1. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-21.

Semiconductor device and method

Номер патента: US11855017B2. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor device and method for fabricating the same

Номер патента: US20230292495A1. Автор: Dong Soo Kim,Tae Kyun Kim. Владелец: SK hynix Inc. Дата публикации: 2023-09-14.

Image sensor and method for manufacturing the same

Номер патента: US20090166778A1. Автор: Sung-ho Jun. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-07-02.

Method for fabricating thin photovoltaic cells

Номер патента: US09484487B2. Автор: Alex Masolin,Maria Recaman Payo. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2016-11-01.

Method for producing wafer notches with rounded corners and a tool therefor

Номер патента: US20030089931A1. Автор: Richard Guldi,James Garvin,Moitreyee Mukerjee-Roy. Владелец: Individual. Дата публикации: 2003-05-15.

Method for forming a DRAM semiconductor device with a sense amplifier

Номер патента: US20070148850A1. Автор: Dong Chul Koo. Владелец: Individual. Дата публикации: 2007-06-28.

Nonvolatile semiconductor memory and manufacturing method for the same

Номер патента: US20060060927A1. Автор: Masayuki Tanaka,Yoshio Ozawa,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2006-03-23.

Nonvolatile semiconductor memory and manufacturing method for the same

Номер патента: US20060054957A1. Автор: Masayuki Tanaka,Yoshio Ozawa,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2006-03-16.

Semiconductor optical devices and method for forming

Номер патента: US7494832B2. Автор: Yang Du,Leo Mathew,Voon-Yew Thean. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2009-02-24.

Method for manufacturing a semiconductor device

Номер патента: US09935235B2. Автор: Tadashi Yamaguchi. Владелец: Renesas Electronics Corp. Дата публикации: 2018-04-03.

Method for passivating a through hole of a semiconductor plate

Номер патента: RU2745656C1. Автор: Александер ФРЕЙ. Владелец: АЦУР СПЭЙС Золяр Пауер ГмбХ. Дата публикации: 2021-03-30.

Semiconductor device and method for forming the same

Номер патента: US20120043605A1. Автор: Se In KWON,Hyun Jin Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-02-23.

Method for controlling parameter using a process of semiconductor production

Номер патента: WO2002082529A1. Автор: Man-Bong Lee. Владелец: Jiwoo Techniques Korea. Дата публикации: 2002-10-17.

Method for forming nanowires including multiple integrated devices with alternate channel materials

Номер патента: US09831131B1. Автор: Ajey P. Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-28.

Electronic device and method for forming the same

Номер патента: US20140010007A1. Автор: Young Man Cho. Владелец: SK hynix Inc. Дата публикации: 2014-01-09.

CMOS image sensor and method for fabricating the same

Номер патента: US7682863B2. Автор: Hyuk Woo. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-03-23.

Nanowire semiconductor device

Номер патента: US09608099B1. Автор: Effendi Leobandung,Tenko Yamashita,Wilfried E. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2017-03-28.

Method for classifying semiconductor wafers

Номер патента: EP4182967A1. Автор: Cyrus Emil TABERY,Dimitra GKOROU,Vahid BASTANI,Reza SAHRAEIAN. Владелец: ASML Netherlands BV. Дата публикации: 2023-05-24.

Method for classifying semiconductor wafers

Номер патента: US20230316103A1. Автор: Cyrus Emil TABERY,Dimitra GKOROU,Vahid BASTANI,Reza SAHRAEIAN. Владелец: ASML Netherlands BV. Дата публикации: 2023-10-05.

Method for producing a semiconductor component and a semiconductor component

Номер патента: US09773945B2. Автор: Tansen Varghese,Matthew Meitl,Christopher Bower. Владелец: X Celeprint Ltd. Дата публикации: 2017-09-26.

Methods for forming one or more crystalline layers on a substrate

Номер патента: US09444049B2. Автор: Randall L. Headrick. Владелец: University of Vermont and State Agricultural College. Дата публикации: 2016-09-13.

Methods for producing semiconductor nanoparticles

Номер патента: WO2013025868A2. Автор: Bryan BERGER,Steve MACINTOSH. Владелец: Lehigh University. Дата публикации: 2013-02-21.

Manufacturing method for semiconductor structure, and semiconductor structure

Номер патента: EP4358140A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-04-24.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US20220310619A1. Автор: Hai-Han Hung,Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-29.

Method for preparing semiconductor structure and semiconductor structure

Номер патента: US12048138B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-23.

Display device using semiconductor light emitting elements, and method for manufacturing same

Номер патента: EP4068371A1. Автор: Junghoon Kim,Byungjun Kang. Владелец: LG ELECTRONICS INC. Дата публикации: 2022-10-05.

Method for forming tunnel oxide film of flash memory

Номер патента: US20030027388A1. Автор: Chin-Ta Su,Tzung-Ting Han. Владелец: Macronix International Co Ltd. Дата публикации: 2003-02-06.

Method for manufacturing semiconductor device

Номер патента: US09893194B2. Автор: Yoshitaka Yamamoto,Hideomi Suzawa,Takayuki Inoue,Tetsuhiro Tanaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-02-13.

Method for fabricating stack structure of semiconductor packages

Номер патента: US8420521B2. Автор: Han-Ping Pu,Cheng-Hsu Hsiao,Ho-Yi Tsai,Fang-Lin Tsai. Владелец: Siliconware Precision Industries Co Ltd. Дата публикации: 2013-04-16.

Semiconductor devices having stressor regions and related fabrication methods

Номер патента: US20110303954A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2011-12-15.

Semiconductor structure and method for fabricating semiconductor structure

Номер патента: US20230007832A1. Автор: Yutong SHEN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Method for producing optoelectronic semiconductor devices and optoelectronic semiconductor device

Номер патента: US09780078B2. Автор: Lutz Hoeppel. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2017-10-03.

Semiconductor memory device and method for manufacturing same

Номер патента: US09728550B2. Автор: Mitsuhiro Omura. Владелец: Toshiba Corp. Дата публикации: 2017-08-08.

Nonvolatile semiconductor memory and manufacturing method for the same

Номер патента: US7183615B2. Автор: Hiroki Yamashita,Yoshio Ozawa,Atsuhiro Sato. Владелец: Toshiba Corp. Дата публикации: 2007-02-27.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US9023253B2. Автор: Xiaoli Liu,Delin Li,Ran Guo. Владелец: Soltrium Technology Ltd. Дата публикации: 2015-05-05.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150162481A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Semiconductor device and method of forming the same

Номер патента: US20240243187A1. Автор: Meng-Han LIN. Владелец: Powerchip Semiconductor Manufacturing Corp. Дата публикации: 2024-07-18.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150159026A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Method and system for the synthesis of semiconductor nanowires

Номер патента: US20100317176A1. Автор: Kevin M. Ryan,Christopher Barrett. Владелец: University of Limerick. Дата публикации: 2010-12-16.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US20240290752A1. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2024-08-29.

Method for continuous tester operation during multiple stage temperature testing

Номер патента: SG11201900098UA. Автор: Roberts, Jr. Владелец: Celerint LLC. Дата публикации: 2019-02-27.

Method and apparatus for determining quality of semiconductor chip

Номер патента: US20240337607A1. Автор: Kenichi Ikeda. Владелец: OPTO SYSTEM CO Ltd. Дата публикации: 2024-10-10.

Method for producing optoelectronic semiconductor devices

Номер патента: US09966370B2. Автор: Simon Jerebic,Frank Singer,Jürgen Moosburger,Markus Pindl. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-05-08.

Method for transfer of semiconductor devices

Номер патента: US09871023B2. Автор: Andrew Huska,Cody Peterson,Clinton Adams,Sean Kupcow. Владелец: Rohinni LLC. Дата публикации: 2018-01-16.

Compositions and methods for semiconductor processing and devices formed therefrom

Номер патента: US09793188B2. Автор: Arjun Mendiratta. Владелец: Equity Solar Inc. Дата публикации: 2017-10-17.

Semiconductor device and production method therefor

Номер патента: US09425120B2. Автор: Akira Nagai,Kazutaka Honda,Makoto Satou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2016-08-23.

Method for forming capacitor opening hole, and method for forming memory capacitor

Номер патента: EP4002504A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-25.

Methods for manufacturing a plurality of semiconductor structures and system in package

Номер патента: US20230262957A1. Автор: Lin Ma,Wenliang Chen. Владелец: AP Memory Technology Corp. Дата публикации: 2023-08-17.

Methods for manufacturing a plurality of semiconductor structures and system in package

Номер патента: US12048142B2. Автор: Lin Ma,Wenliang Chen. Владелец: AP Memory Technology Corp. Дата публикации: 2024-07-23.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US8815652B2. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2014-08-26.

Manufacturing method for semiconductor film, photodetector element, image sensor, and semiconductor film

Номер патента: US20220393126A1. Автор: Masahiro Takata,Masashi Ono. Владелец: Fujifilm Corp. Дата публикации: 2022-12-08.

CVD apparatus and method for forming CVD film

Номер патента: US09831069B2. Автор: Masaki Kusuhara. Владелец: Wacom Co Ltd. Дата публикации: 2017-11-28.

Method for manufacturing semiconductor module and intermediate assembly unit of the same

Номер патента: US09741628B2. Автор: Kenichiro Sato. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-08-22.

Method for production of microchip card unit for contactless reading cards

Номер патента: RU2155379C2. Автор: Мундигл Йозеф,Удо Детлеф. Владелец: Сименс АГ. Дата публикации: 2000-08-27.

Protective film forming agent and method for producing semiconductor chip

Номер патента: US20210031300A1. Автор: Tetsuro Kinoshita. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2021-02-04.

Devices and methods for ultra thin photodiode arrays on bonded supports

Номер патента: WO2010053881A1. Автор: Frederick A. Flitsch,Alexander O. Goushcha. Владелец: Array Optronix, Inc.. Дата публикации: 2010-05-14.

Data reading method for semiconductor memory device and semiconductor memory device

Номер патента: US20090077337A1. Автор: Daisuke Oda. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2009-03-19.

Method for fabrication of semiconductor device

Номер патента: US12062722B2. Автор: Shunpei Yamazaki,Masahiro Takahashi,Tatsuya Honda,Takehisa Hatano. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2024-08-13.

Apparatus and method for secondary electron emission microscope

Номер патента: US20030205669A1. Автор: David Walker,David Adler,Fred Babian,Travis Wolfe. Владелец: KLA Tencor Corp. Дата публикации: 2003-11-06.

Power electronic devices with busbars and method for their fabrication

Номер патента: US20240055781A1. Автор: Benjamin Söhnle. Владелец: Audi AG. Дата публикации: 2024-02-15.

Detect the method for the osmotic state of the ion exchange polymer film of fuel cell

Номер патента: CN102792504B. Автор: G·帕加内利. Владелец: Conception et Developpement Michelin SA. Дата публикации: 2015-09-30.

Method for forming organic semiconductor thin film and method of manufacturing thin-film semiconductor device

Номер патента: US20100029040A1. Автор: Akihiro Nomoto. Владелец: Sony Corp. Дата публикации: 2010-02-04.

Anode and method for forming a zinc metal anode using molecular layer deposition

Номер патента: CA3123894A1. Автор: Jian Liu,Huibing He. Владелец: University of British Columbia. Дата публикации: 2021-09-16.

Method for fabricating a throughput-scalable analytical system for molecule detection and sensing

Номер патента: US20210296380A1. Автор: MEI Yan. Владелец: Genesense Technology Inc. Дата публикации: 2021-09-23.

Method for forming coating film on rare earth magnet surface, and rare earth magnet

Номер патента: US12064983B2. Автор: Kazuhito Akada,Yuta KURIBARA. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-08-20.

Systems and methods for scaling injection waveform amplitude during ion isolation

Номер патента: US10056240B2. Автор: Philip M. Remes. Владелец: Thermo Finnigan LLC. Дата публикации: 2018-08-21.

Method for producing a plurality of semiconductor lasers and semiconductor laser

Номер патента: US20240047935A1. Автор: Sven GERHARD,Lars Nähle. Владелец: Ams Osram International GmbH. Дата публикации: 2024-02-08.

Method for manufacturing a distributed bragg reflector for 1550 nm vertical-cavity surface-emitting laser

Номер патента: US20240146028A1. Автор: Chao-Chieh Chu. Владелец: Well & Fortune Tech LLC. Дата публикации: 2024-05-02.

Apparatus and method for the treatment of semiconductor substrates

Номер патента: WO2009150226A2. Автор: Andreas Tikovsky. Владелец: INTEGA GmbH. Дата публикации: 2009-12-17.

Apparatus and method for the treatment of semiconductor substrates

Номер патента: WO2009150226A3. Автор: Andreas Tikovsky. Владелец: INTEGA GmbH. Дата публикации: 2010-02-04.

Cold Forming Method for Forming Power Pins and Power Pin Formed Thereof

Номер патента: US20160336675A1. Автор: Manzhi Zhou,Guangdong Song. Владелец: Individual. Дата публикации: 2016-11-17.

Method for manufacturing solid electrolytic capacitor, and solid electrolytic capacitor

Номер патента: US09865401B2. Автор: Kiyofumi Aoki,Koji Inazawa,Hiromasa Ageo,Junya Tatsuno. Владелец: AVX Corp. Дата публикации: 2018-01-09.

Cold forming method for forming power pins and power pin formed thereof

Номер патента: US09634419B2. Автор: Manzhi Zhou,Guangdong Song. Владелец: Individual. Дата публикации: 2017-04-25.

Method for Manufacturing Thin Film Transistor

Номер патента: US20100105161A1. Автор: Katsura Hirai. Владелец: KONICA MINOLTA INC. Дата публикации: 2010-04-29.

IMAGE FORMING DEVICE AND METHOD FOR CONTROLLING IMAGE FORMING DEVICE

Номер патента: US20180015750A1. Автор: ITO Takashi. Владелец: KYOCERA Document Solutions Inc.. Дата публикации: 2018-01-18.

Image forming device and method for controlling image forming device

Номер патента: WO2016121674A1. Автор: 伊藤 崇. Владелец: 京セラドキュメントソリューションズ株式会社. Дата публикации: 2016-08-04.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US20060194394A1. Автор: Heung Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-08-31.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US7645672B2. Автор: Heung Jin Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-01-12.

Arrangement and method for dynamic braking of a permanent magnet motor and an elevator utilizing thereof

Номер патента: US11855571B2. Автор: Mikko Paakkinen. Владелец: Kone Corp. Дата публикации: 2023-12-26.

Devices and methods for consuming a plurality of liquids and mold apparatuses for forming such devices

Номер патента: US20210206533A1. Автор: Dillon Patrick McCarthy. Владелец: Individual. Дата публикации: 2021-07-08.

Circuit forming method and circuit forming device

Номер патента: EP4142436A1. Автор: Hironori Kondo,Kyosuke YAMAZAKI. Владелец: Fuji Corp. Дата публикации: 2023-03-01.

Method for manufacturing a semiconductor structure and semiconductor structure

Номер патента: US12127390B2. Автор: Dawei Feng. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-22.

Systems and methods for operating high voltage switches

Номер патента: US09575124B2. Автор: William Chau,Brian Cheung,Darmin Jin. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-02-21.

High temperature superconductor film, method for forming the same and superconductor element

Номер патента: US6690957B2. Автор: Kazunori Yamanaka,Teru Nakanishi,Akihiko Akasegawa. Владелец: Fujitsu Ltd. Дата публикации: 2004-02-10.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US20080316790A1. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2008-12-25.

Method for forming via hole in substrate for flexible printed circuit board

Номер патента: EP1884147A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-02-06.

Method For Forming Via Hole in Substrate For Flexible Printed Circuit Board

Номер патента: US20080210661A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-09-04.

Method for epitaxial growth from the vapour phase of semiconductor materials

Номер патента: CA1296241C. Автор: Peter Michael Frijlink. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1992-02-25.

Method for forming resin articles

Номер патента: US5622811A. Автор: Hideho Ariyoshi,Yousuke Ogue. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1997-04-22.

Coating composition and method for producing precoated steel sheets

Номер патента: US6018013A. Автор: Keiji Yoshida,Takashi Anyashiki,Kazumi Itou. Владелец: NKK Corp. Дата публикации: 2000-01-25.

System and method for induction fusing of thermoplastic composites

Номер патента: US20230382060A1. Автор: W. Robert Nelson,Audra Morgan. Владелец: Spirit AeroSystems Inc. Дата публикации: 2023-11-30.

PRODUCTION METHOD FOR STEREOSCOPIC-IMAGE-FORMING DEVICE, AND STEREOSCOPIC-IMAGE-FORMING DEVICE

Номер патента: US20200001556A1. Автор: OTSUBO Makoto. Владелец: ASUKANET COMPANY, LTD.. Дата публикации: 2020-01-02.

Production method for stereoscopic-image-forming device, and stereoscopic-image-forming device

Номер патента: TWI754460B. Автор: 大坪誠. Владелец: 日商亞斯卡奈特股份有限公司. Дата публикации: 2022-02-01.

Method for controlling image forming device

Номер патента: KR100574018B1. Автор: 김도형. Владелец: 삼성전자주식회사. Дата публикации: 2006-04-26.

Driving method for electrophotographic image forming device

Номер патента: JPH1165374A. Автор: Yoon-seop Eom,允 燮 嚴. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-03-05.

Method for driving image forming device

Номер патента: JPS6490460A. Автор: Yoshiaki Takano. Владелец: Minolta Co Ltd. Дата публикации: 1989-04-06.

Method for regulating uniformity in thickness of biaxially stretched tubular film of synthetic resin

Номер патента: JPS57174214A. Автор: Kazuo Kondo,Kakushi Maruyama. Владелец: Okura Industrial Co Ltd. Дата публикации: 1982-10-26.

Method for producing an organic solvent

Номер патента: US20220096978A1. Автор: Suguru Sassa,Tomoya Ohashi,Toyoshiro YOSHIDA. Владелец: Nissan Chemical Corp. Дата публикации: 2022-03-31.

Method for forming a thermal protective film

Номер патента: RU2662843C1. Автор: Хидео ЯМАСИТА. Владелец: Тойота Дзидося Кабусики Кайся. Дата публикации: 2018-07-31.

Method for forming a film on a constituent part in a compressor

Номер патента: US20010017078A1. Автор: Hiroaki Kayukawa,Manabu Sugiura,Kazuaki Iwama,Shigeki Kawachi,Naohiko Isomura. Владелец: Individual. Дата публикации: 2001-08-30.

Spring forming device, method for forming a helical spring and corresponding computer program

Номер патента: EP3021996A1. Автор: Paolo BOSCHIERO. Владелец: Simplex Rapid Srl. Дата публикации: 2016-05-25.

Film forming device and method for forming metal film using the same

Номер патента: US20200190685A1. Автор: Hirofumi Iisaka. Владелец: Toyota Motor Corp. Дата публикации: 2020-06-18.

Method and device for forming a shed in a weaving machine

Номер патента: US20030230350A1. Автор: Mikhail Gendelman,Flora Gendelman. Владелец: Individual. Дата публикации: 2003-12-18.

Apparatus and method for manufacturing plastic containers with container rotation on transfer starwheels

Номер патента: US20230257245A1. Автор: Jochen Hirdina. Владелец: KRONES AG. Дата публикации: 2023-08-17.

Method for forming metal film

Номер патента: US20220403544A1. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2022-12-22.

Method and device for forming a shed in a weaving machine

Номер патента: WO2003106745A2. Автор: Mikhail Gendelman. Владелец: Gendelman, Flora. Дата публикации: 2003-12-24.

Bag component for a product, method for manufacturing a bag component, and forming tool

Номер патента: US20240317463A1. Автор: Denis Loewensberg. Владелец: B Braun Melsungen AG. Дата публикации: 2024-09-26.

Method for forming hybrid license plates and digital printing machine for carrying out said method

Номер патента: EP3699033A1. Автор: Enrique Sanchez Casadevall. Владелец: Industrias Samart SA. Дата публикации: 2020-08-26.

Apparatus and method for forming a string of pocket springs

Номер патента: WO2014198816A1. Автор: Rudolf Fassler,Heinz Buchhold. Владелец: Spühl Gmbh. Дата публикации: 2014-12-18.

Method for efficient analysis of semiconductor failures

Номер патента: WO2001063619A3. Автор: Joerg Wohlfahrt,Dieter Rathei,Thomas Giegold. Владелец: Infineon Technologies Corp. Дата публикации: 2002-04-18.

Method for efficient analysis of semiconductor failures

Номер патента: WO2001063619A2. Автор: Joerg Wohlfahrt,Dieter Rathei,Thomas Giegold. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-08-30.

Fire retardant thermoplastic composite and method for forming same

Номер патента: EP4427915A1. Автор: Ajeesh G,Hemesh Manchoor. Владелец: Rohr Inc. Дата публикации: 2024-09-11.

Method for forming SbSI thin films

Номер патента: US6153262A. Автор: Kanwal K. Raina,Raghvendra K. Pandey,Narayanan Solayappan. Владелец: TEXAS A&M UNIVERSITY SYSTEM. Дата публикации: 2000-11-28.

Method for forming a film by spin coating

Номер патента: US20070259193A1. Автор: Masashi Hakamata,Yukou Saito. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2007-11-08.

Semiconductor device test system and method

Номер патента: US20080246505A1. Автор: Carsten Ohlhoff,Markus Kollwitz. Владелец: Qimonda AG. Дата публикации: 2008-10-09.

Standoffs for passive alignment of semiconductor chip and coupling bench

Номер патента: US20030138188A1. Автор: Yee Lam,Hwi Lim,Cher Randall Cha,Kian Teo. Владелец: DENSELIGHT SEMICONDUCTORS PTE LTD. Дата публикации: 2003-07-24.

Method for production of l-glutamic acid

Номер патента: RU2282662C2. Автор: Такаюки КОДА,Хироси УЕДА,Масаказу САТО. Владелец: Адзиномото Ко., Инк.. Дата публикации: 2006-08-27.

Device and method for filing and processing requests

Номер патента: RU2263957C2. Автор: Шерман Чинг МА. Владелец: Минерва Холдингс Нв. Дата публикации: 2005-11-10.

Method for forming carbon-carbon bond

Номер патента: EP3971156A1. Автор: Hironao Sajiki,Shinji Nakamura,Hitoshi Takada,Tsuyoshi Yamada,Yoshinari Sawama. Владелец: Organo Corp. Дата публикации: 2022-03-23.

Method for forming thin film

Номер патента: WO2003041142A1. Автор: Won-Yong Koh,Choon-Soo Lee. Владелец: Genitech Co., Ltd.. Дата публикации: 2003-05-15.

Method for forming thin film

Номер патента: EP1454347A1. Автор: Won-Yong 105-605 Hanul Apt. KOH,Choon-Soo 113-402 Hanmaeul Apt. 200-4 LEE. Владелец: Genitech Co Ltd. Дата публикации: 2004-09-08.

Cladding and the method for its formation

Номер патента: RU2759409C1. Автор: Константин Юрьевич Севенард. Владелец: Константин Юрьевич Севенард. Дата публикации: 2021-11-12.

Heat exchanger, method for formation thereof and use thereof

Номер патента: RU2667565C2. Автор: Петер Ян КОЛ. Владелец: Интергэс Хитинг Эссетс Б.В.. Дата публикации: 2018-09-21.

Method for forming glass products

Номер патента: RU2763578C1. Автор: Вячеслав Владимирович Головин. Владелец: Вячеслав Владимирович Головин. Дата публикации: 2021-12-30.

Shaver blade, method for making it

Номер патента: RU2302333C2. Автор: Николае НЕАМТУ,Стефен Ф. ХОББС. Владелец: Дзе Джиллетт Компани. Дата публикации: 2007-07-10.

Forming unit and method for forming a container and packing apparatus with such a forming unit

Номер патента: US12023888B2. Автор: Antonio Vitali,Salvatore Carboni. Владелец: GD SpA. Дата публикации: 2024-07-02.

Method for forming a film or a curable composition, apparatus, and storage medium

Номер патента: US20240078365A1. Автор: Yuichiro Oguchi,Shintaro Narioka. Владелец: Canon Inc. Дата публикации: 2024-03-07.

Method for forming a film or a curable composition, apparatus, and storage medium

Номер патента: US11880638B2. Автор: Yuichiro Oguchi,Shintaro Narioka. Владелец: Canon Inc. Дата публикации: 2024-01-23.

Organic-inorganic complex liquid dispersion and method for producing same

Номер патента: EP4209548A1. Автор: Naoto Sakurai,Tetsuo Takada,Hirohide Nakaguma. Владелец: Fujifilm Corp. Дата публикации: 2023-07-12.

Method for forming fixed images

Номер патента: EP2649495A1. Автор: YASUHIRO Hidaka,Akihiro Eida. Владелец: Kao Corp. Дата публикации: 2013-10-16.

Method for forming in-mold coating multi-layer coating film

Номер патента: EP4431192A1. Автор: Takashi Kitamura,Haruka Nakaoka,Katsuto Komura. Владелец: Kansai Paint Co Ltd. Дата публикации: 2024-09-18.

Method for manufacturing constant velocity drive shaft

Номер патента: EP4434652A1. Автор: Tsuyoshi Muramatsu,Munemasa Kamizaiku,Motoharu NUKA,Smit Jaradswong. Владелец: Sigma & Hearts Co Ltd. Дата публикации: 2024-09-25.

Method and apparatus for forming elliptical hollow cylinder

Номер патента: US09821358B2. Автор: Shinji Hioki,Dai KAWASHIMA,Shuji Tokita. Владелец: Calsonic Kansei Corp. Дата публикации: 2017-11-21.

Method for manufacturing constant velocity drive shaft

Номер патента: US20240316618A1. Автор: Tsuyoshi Muramatsu,Munemasa Kamizaiku,Motoharu NUKA,Smit Jaradswong. Владелец: Sigma & Hearts Co Ltd. Дата публикации: 2024-09-26.

System and method for forming three-dimensional body

Номер патента: RU2759969C2. Автор: Клаус ШТАДЛЬМАНН. Владелец: Клаус ШТАДЛЬМАНН. Дата публикации: 2021-11-19.

Manufacturing method for vehicle knuckle using preform

Номер патента: US20230128647A1. Автор: Ki Ho Kim,Se Woong JEONG,Ig Jin KWON,Ju Hyoung Cho,Hyun Tae BANG. Владелец: Iljin Co Ltd. Дата публикации: 2023-04-27.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: EP3500850A2. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2019-06-26.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: US20230273159A1. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2023-08-31.

Method for forming multilayer coating film

Номер патента: CA3076175A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2019-03-21.

Method for the preparation of optical waveguide devices and optical waveguide devices

Номер патента: US20040228595A1. Автор: Toshihiro Kuroda,Madoka Kondou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2004-11-18.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001226A1. Автор: . Владелец: Sanken Electric Co., Ltd.. Дата публикации: 2012-01-05.

Device isolation insulating film formation method of semiconductor device

Номер патента: KR960043101A. Автор: 김승준,신기수. Владелец: 현대전자산업 주식회사. Дата публикации: 1996-12-23.

Device isolation oxide film formation method of semiconductor device

Номер патента: KR100280811B1. Автор: 권성구. Владелец: 현대전자산업주식회사. Дата публикации: 2001-03-02.

Method for preventing cracking of wall surface by isolating film

Номер патента: CN1157519C. Автор: 程龙生. Владелец: Individual. Дата публикации: 2004-07-14.

Method for designing image forming device, and image forming device using the method

Номер патента: JPH1031349A. Автор: Tomoshi Hirota,智志 広田. Владелец: Fuji Xerox Co Ltd. Дата публикации: 1998-02-03.

Device isolation insulating film formation method of a semiconductor device

Номер патента: KR0144492B1. Автор: 김승준,신기수. Владелец: 김주용. Дата публикации: 1998-07-01.

Production method for aerial image forming device, and aerial image forming device

Номер патента: TW202305411A. Автор: 大坪誠. Владелец: 日商亞斯卡奈特股份有限公司. Дата публикации: 2023-02-01.

Single-yarn yarn-broken fancy yarn, forming method for same and forming device for same

Номер патента: CN102534911A. Автор: 陈伟雄. Владелец: Jiaxing University. Дата публикации: 2012-07-04.

Image forming method for electrophotographic image forming device

Номер патента: JPH01185674A. Автор: Khaldoun Alzien,カルドウン・アルジャン. Владелец: Compaq Computer Corp. Дата публикации: 1989-07-25.

Life detecting method for photoreceptor, image forming device, and process cartridge

Номер патента: JPH1039691A. Автор: Norihisa Hoshika,令久 星加,Hiroaki Ogata,寛明 緒方. Владелец: Canon Inc. Дата публикации: 1998-02-13.

Method for controlling image forming device

Номер патента: JPH10171187A. Автор: Keizo Otsuka,Osamu Sugino,修 杉野,圭三 大柄. Владелец: Canon Inc. Дата публикации: 1998-06-26.

Method for controlling image forming device

Номер патента: JPH11184360A. Автор: Kazuhiko Uneme,Junichi Hamada,純一 浜田,和彦 采女. Владелец: KONICA MINOLTA INC. Дата публикации: 1999-07-09.

Washing method for deposit film-forming device

Номер патента: JPS621873A. Автор: Takahisa Kawamura,茂平 飯田,Naoko Shirai,Shigehira Iida,白井 直子,川村 高久. Владелец: Canon Inc. Дата публикации: 1987-01-07.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120003805A1. Автор: Lee Tae-Jung,PARK MYOUNG-KYU,Bang Kee-In. Владелец: . Дата публикации: 2012-01-05.

Method of producing cleaning web, image-forming device and fixing device

Номер патента: US20120003020A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120002693A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR FORMING SURFACE PROCESSED DATA

Номер патента: US20120001908A1. Автор: Takahashi Kenji,Kikuta Mamoru,Miura Kenjiro,Uzuyama Daijiro. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001168A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001332A1. Автор: TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001335A1. Автор: ENDO Yuta,TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

ENERGY STORAGE DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003535A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120003902A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME

Номер патента: US20120000519A1. Автор: FREY Jonathan Mack. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR FORMING A PHOTOVOLTAIC CELL AND A PHOTOVOLTAIC CELL

Номер патента: US20120000529A1. Автор: . Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001169A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Method for manufacturing thin film capacitor and thin film capacitor obtained by the same

Номер патента: US20120001298A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Manufacturing Alloy Resistor

Номер патента: US20120000066A1. Автор: . Владелец: VIKING TECH CORPORATION. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ISOLATING A VIEWPORT

Номер патента: US20120000301A1. Автор: LITTLE Edwin Jackson,PAVOL Mark J.. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING EASY OPEN END

Номер патента: US20120000340A1. Автор: Kubo Hiroshi,Kojima Katsumi,Yamanaka Yoichiro,Tada Masaki,Iwasa Hiroki. Владелец: JFE STEEL CORPORATION. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE

Номер патента: US20120001300A1. Автор: Ito Takayuki,ISHIDA Tatsuya,Yoshino Kenichi,Naito Tatsuya. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR OPERATING RFID DEVICES ON SINGLE-USE CONNECTORS

Номер патента: US20120001731A1. Автор: . Владелец: GE HEALTHCARE BIOSCIENCE BIOPROCESS CORP.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

RESIN COMPOSITION FOR ENCAPSULATING SEMICONDUCTOR AND SEMICONDUCTOR DEVICE

Номер патента: US20120001350A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

Semiconductor Light Emitting Device and Method for Manufacturing the Same

Номер патента: US20120001202A1. Автор: Horng Ray-Hua. Владелец: NATIONAL CHENG KUNG UNIVERSITY. Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003821A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

RECEIVER, SEMICONDUCTOR DEVICE, AND SIGNAL TRANSMISSION METHOD

Номер патента: US20120002771A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001177A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

METHOD FOR AUTOMATICALLY SHIFTING A BASE LINE

Номер патента: US20120004890A1. Автор: Chen Po-Tsang. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120000595A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

Tricalcium Phosphate Coarse Particle Compositions and Methods for Making the Same

Номер патента: US20120000394A1. Автор: Delaney David C.,Jalota Sahil,Yetkinler Duran N.. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR ENHANCED PROCESSING CHAMBER CLEANING

Номер патента: US20120000490A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000517A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

SPUTTERING TARGETS INCLUDING EXCESS CADMIUM FOR FORMING A CADMIUM STANNATE LAYER

Номер патента: US20120000776A1. Автор: Feldman-Peabody Scott Daniel. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

METHOD FOR REPAIRING GAS TURBINE BLADES AND GAS TURBINE BLADE

Номер патента: US20120000890A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING ANISOPTROPIC BULK MATERIALS

Номер патента: US20120001368A1. Автор: Filippov Andrey V.,Milia Charlotte Diane. Владелец: . Дата публикации: 2012-01-05.

IMAGE FORMING APPARATUS AND METHOD FOR MAINTAINING HEAD

Номер патента: US20120001976A1. Автор: . Владелец: RICOH COMPANY, LTD.. Дата публикации: 2012-01-05.

Method and Apparatus For Inspecting Defect Of Pattern Formed On Semiconductor Device

Номер патента: US20120002861A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ATOMIC LAYER DEPOSITION

Номер патента: US20120003396A1. Автор: . Владелец: Nederlandse Organisatie voor toegepast-natuurweten schappelijk onderzoek TNO. Дата публикации: 2012-01-05.

BELTS FOR ELECTROSTATOGRAPHIC APPARATUS AND METHODS FOR MAKING THE SAME

Номер патента: US20120003415A1. Автор: FROMM Paul M.. Владелец: XEROX CORPORATION. Дата публикации: 2012-01-05.

DECORATION DEVICE AND METHOD FOR FABRICATING DECORATION DEVICE

Номер патента: US20120003426A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

Method For Producing A Porcelain Enamel Logo On A Porcelain Enamel Background On A Grill Component With Preselected Colors

Номер патента: US20120003445A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRODE MATERIAL AND METHOD FOR FORMING ELECTRODE MATERIAL

Номер патента: US20120003529A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003781A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

REAGENT AND METHOD FOR PROVIDING COATINGS ON SURFACES

Номер патента: US20120004339A1. Автор: . Владелец: SURMODICS, INC.. Дата публикации: 2012-01-05.

SERIAL VALVES AND HUBS FOR TUBULAR DEVICES AND METHODS FOR MAKING AND USING THEM

Номер патента: US20120004622A1. Автор: . Владелец: AUST DEVELOPMENT, LLC. Дата публикации: 2012-01-05.

System and Method for Joint Resurface Repair

Номер патента: US20120004663A1. Автор: . Владелец: ARTHROSURFACE INC.. Дата публикации: 2012-01-05.

Method for Corrosion Protection Treatment

Номер патента: US20120000591A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device Including Ultra Low-K (ULK) Metallization Stacks with Reduced Chip-Package Interaction

Номер патента: US20120001323A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

Semiconductor Device Comprising Through Hole Vias Having a Stress Relaxation Mechanism

Номер патента: US20120001330A1. Автор: Huisinga Torsten,Grillberger Michael,Hahn Jens. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

OPTICAL IMAGE ACQUISITION APPARATUS HAVING ADAPTIVE OPTICS AND CONTROL METHOD FOR THE SAME

Номер патента: US20120002165A1. Автор: Saito Kenichi. Владелец: CANON KABUSHIKI KAISH. Дата публикации: 2012-01-05.

Adjusting Method For Recording Condition And Optical Disc Device

Номер патента: US20120002527A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Anti-Corrosion Treatment

Номер патента: US20120003598A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

Method for Uses of Protein Precursors as Prodrugs

Номер патента: US20120004398A1. Автор: Wang Yan,SHEN Wei-Chiang. Владелец: UNIVERSITY OF SOUTHERN CALIFORNIA. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120000484A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

Device and Method for Fixing a Component in Position on a Component Carrier

Номер патента: US20120000601A1. Автор: Fessler-Knobel Martin,Huttner Roland. Владелец: MTU AERO ENGINES GMBH. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DESIGNING METHOD FOR DIMPLE PATTERN OF GOLF BALL

Номер патента: US20120004053A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND ASSOCIATED METHOD FOR PREVENTING OVERFILLING IN A DISHWASHER

Номер патента: US20120000535A1. Автор: Poyner Dennis A.,Mitchell Glen,Duckworth Jason,DeFilippi John,Francisco Virgil J.. Владелец: . Дата публикации: 2012-01-05.

EMBOSSED TEXTURED WEBS AND METHOD FOR MAKING

Номер патента: US20120003423A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for actualization of digital area map and device for realization of said method

Номер патента: RU2246695C2. Автор: В.Л. Кашин,Л.И. Яблонский. Владелец: 29 Нии Мо Рф. Дата публикации: 2005-02-20.

METHOD FOR PRODUCING METAL THIN FILM

Номер патента: US20120000382A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

NANOPOROUS FILMS AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000845A1. Автор: Park Han Oh,Kim Jae Ha,JIN Myung Kuk. Владелец: BIONEER CORPORATION. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING POLYIMIDE FILM

Номер патента: US20120001367A1. Автор: . Владелец: KANEKA CORPORATION. Дата публикации: 2012-01-05.

METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001953A1. Автор: Yamazaki Shunpei,Hirakata Yoshiharu. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

NITRIDE CRYSTAL AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120003446A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

FLAME-RETARDANT POLY LACTIC ACID-CONTAINING FILM OR SHEET, AND METHOD FOR MANUFACTURING THEREOF

Номер патента: US20120003459A1. Автор: . Владелец: NITTO DENKO CORPORATION. Дата публикации: 2012-01-05.

MANUFACTURING METHOD FOR SOLID-STATE IMAGING DEVICE

Номер патента: US20120003778A1. Автор: OOTAKE Hajime. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SUSPENSION OF CELLULOSE FIBERS AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120000392A1. Автор: Isogai Akira,Mukai Kenta,Kumamoto Yoshiaki. Владелец: . Дата публикации: 2012-01-05.

Solar Cell And Method For Manufacturing Solar Cell

Номер патента: US20120000512A1. Автор: HASHIMOTO Masanori,SAITO Kazuya,SHIMIZU Miho. Владелец: . Дата публикации: 2012-01-05.

Lead Frame and Method For Manufacturing the Same

Номер патента: US20120001307A1. Автор: . Владелец: LG INNOTEK CO., LTD.. Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003433A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003441A1. Автор: CHEN CHIA-FU. Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

SYSTEMS AND METHOD FOR VOLITIONAL CONTROL OF JOINTED MECHANICAL DEVICES BASED ON SURFACE ELECTROMYOGRAPHY

Номер патента: US20120004736A1. Автор: . Владелец: VANDERBILT UNIVERSITY. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE WHICH A PLURALITY OF TYPES OF TRANSISTORS ARE MOUNTED

Номер патента: US20120001265A1. Автор: . Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films

Номер патента: US20120004388A1. Автор: Hanson Eric L.. Владелец: Aculon, Inc.. Дата публикации: 2012-01-05.

Sputtering target and method for manufacturing same

Номер патента: MY190417A. Автор: Koichi Sakamaki,Hiroaki SOGAME,Hidetaka Yakabe,Jun Fukuoka. Владелец: Hitachi Metals Ltd. Дата публикации: 2022-04-21.

Method for forming involute plastic articles from thermoplastic sheet material

Номер патента: CA1077668A. Автор: Robert F. Mulvany (Jr.). Владелец: Individual. Дата публикации: 1980-05-20.