• Главная
  • Process and mask projection system for laser crystallization processing of semiconductor film regions on a substrate

Process and mask projection system for laser crystallization processing of semiconductor film regions on a substrate

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method and apparatus for laser drilling blind vias

Номер патента: EP4183233A1. Автор: Steven Verhaverbeke,Roman Gouk,Wei-Sheng Lei,Kurtis LESCHKIES,Visweswaren Sivaramakrishnan. Владелец: Applied Materials Inc. Дата публикации: 2023-05-24.

Method for Laser Dicing of a Substrate

Номер патента: US20080096367A1. Автор: Ivar Boerefijn,Antonius Hendriks,Hendrik Kettelarij. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2008-04-24.

Substrate processing and alignment

Номер патента: US20170033053A1. Автор: Erich Thallner. Владелец: Individual. Дата публикации: 2017-02-02.

Method for forming a liquid film on a substrate

Номер патента: US6669982B2. Автор: Shinichi Ito. Владелец: Toshiba Corp. Дата публикации: 2003-12-30.

Method for forming a liquid film on a substrate

Номер патента: US20040091607A1. Автор: Shinichi Ito. Владелец: Toshiba Corp. Дата публикации: 2004-05-13.

Anti-reflective coating process and apparatus

Номер патента: EP1246706A2. Автор: William R. Roberts,Marlene Strobl. Владелец: Infineon Technologies Richmond LP. Дата публикации: 2002-10-09.

Anti-reflective coating process and apparatus

Номер патента: WO2001051679A2. Автор: William R. Roberts,Marlene Strobl. Владелец: Infineon Technologies Richmond Llp. Дата публикации: 2001-07-19.

Anti-reflective coating process and apparatus

Номер патента: WO2001051679A3. Автор: Marlene Strobl,William R Roberts. Владелец: Infineon Technologies Richmond. Дата публикации: 2002-02-21.

Systems for and method of laser marking with reduced maximum operational output power

Номер патента: EP3787832A1. Автор: Tristan Phillips,John V Cridland. Владелец: DataLase Ltd. Дата публикации: 2021-03-10.

Method and system for controlling deposition device

Номер патента: US20230019579A1. Автор: Tao Liang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-19.

Method and system for forming copper thin film

Номер патента: US20020052109A1. Автор: Atsushi Sekiguchi,Akiko Kobayashi,Toshiaki Sasaki,Susumu Akiyama,Minjuan Zhang. Владелец: Individual. Дата публикации: 2002-05-02.

Method and system for forming copper thin film

Номер патента: US6726954B2. Автор: Atsushi Sekiguchi,Akiko Kobayashi,Toshiaki Sasaki,Susumu Akiyama,Minjuan Zhang. Владелец: Anelva Corp. Дата публикации: 2004-04-27.

Metallization process and apparatus

Номер патента: EP1048062A1. Автор: Liang-Yuh Chen,Mehul B. Naik,Israel Beinglass,Ted Guo,Roderick Craig Mosely. Владелец: Applied Materials Inc. Дата публикации: 2000-11-02.

Interconnection wires of semiconductor devices

Номер патента: US20140175650A1. Автор: Chung-Ju Lee,Hsiang-Huan Lee,Sunil Kumar Singh,Hsin-Chieh Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-06-26.

Solar cell structure, method for preparing solar cell, and mask plate

Номер патента: EP4411836A2. Автор: HONGWEI Li,Yifeng Chen,Daming Chen,Guangtao YANG. Владелец: Trina Solar Co Ltd. Дата публикации: 2024-08-07.

Solar cell structure, method for preparing solar cell, and mask plate

Номер патента: AU2024204652A1. Автор: HONGWEI Li,Yifeng Chen,Daming Chen,Guangtao YANG. Владелец: Trina Solar Co Ltd. Дата публикации: 2024-07-25.

Capacitor of semiconductor device and manufacturing method thereof

Номер патента: US20060138593A1. Автор: Min Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-06-29.

Method and system for forming avariable thickness seed layer

Номер патента: WO2006104647A3. Автор: Tsukasa Matsuda. Владелец: Tokyo Electron America Inc. Дата публикации: 2006-11-16.

Production method of semiconductor device

Номер патента: US20020127880A1. Автор: Yoshiyuki Tanaka,Masaki Saito,Yoshiyuki Enomoto. Владелец: Sony Corp. Дата публикации: 2002-09-12.

Differential Layer Formation Processes and Structures Formed Thereby

Номер патента: US20200035679A1. Автор: Chung-Ting Ko,Chi On Chui,Jr-Hung Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

Water washable thermal and plasma resistant coating for laser interactive applications

Номер патента: WO2020176457A1. Автор: John Cleaon Moore. Владелец: John Cleaon Moore. Дата публикации: 2020-09-03.

High voltage diodes for wafer on wafer packaging of semiconductor device

Номер патента: US20240322049A1. Автор: Haitao Liu,Michael A. Smith,Shyam Surthi. Владелец: Micron Technology Inc. Дата публикации: 2024-09-26.

Manufacturing method of semiconductor structure and semiconductor structure

Номер патента: US20240071916A1. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-29.

Fin isolation structures of semiconductor devices

Номер патента: US20190096769A1. Автор: Yen-Ming Chen,Chih-Hao Wang,Kuan-Lun Cheng,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-03-28.

Method for making high voltage integrated circuit devices in a fin-type process and resulting devices

Номер патента: US20160111422A1. Автор: Jagar Singh. Владелец: Globalfoundries Inc. Дата публикации: 2016-04-21.

Semiconductor structure and preparation method of semiconductor structure

Номер патента: US20230238273A1. Автор: Jie Bai,Wenli Zhao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-27.

Manufacturing method of semiconductor device

Номер патента: US20190088486A1. Автор: Lu-Ping chiang,Chun-Hsu Chen,Chung-Hsien Liu. Владелец: Winbond Electronics Corp. Дата публикации: 2019-03-21.

Semiconductor device and manufacturing method of semiconductor device

Номер патента: US20220262672A1. Автор: Yutaka Uchida. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-08-18.

Lithographic imaging of a structure pattern onto one or more fields on a substrate

Номер патента: GB9909958D0. Автор: . Владелец: Ims Ionen Mikrofabrikations Systems GmbH. Дата публикации: 1999-06-30.

Mask blank substrate set and mask blank set

Номер патента: US8318387B2. Автор: Masaru Tanabe. Владелец: Hoya Corp. Дата публикации: 2012-11-27.

Mask blank substrate set and mask blank set

Номер патента: US20110256473A1. Автор: Masaru Tanabe. Владелец: Hoya Corp. Дата публикации: 2011-10-20.

Integration of lithography apparatus and mask optimization process with multiple patterning process

Номер патента: US20140365983A1. Автор: HONG Chen,Jun Ye,Luoqi Chen. Владелец: ASML Netherlands BV. Дата публикации: 2014-12-11.

Integration of lithography apparatus and mask optimization process with multiple patterning process

Номер патента: US8819601B2. Автор: HONG Chen,Jun Ye,Luoqi Chen. Владелец: ASML Netherlands BV. Дата публикации: 2014-08-26.

Method for depositing photoresist onto a substrate

Номер патента: EP1141779A1. Автор: Randhir P. S. Thakur. Владелец: Steag RTP Systems Inc. Дата публикации: 2001-10-10.

Method for depositing photoresist onto a substrate

Номер патента: WO2000042474A1. Автор: Randhir P. S. Thakur. Владелец: Steag Rtp Systems, Inc.. Дата публикации: 2000-07-20.

Methods and systems for patterning of low aspect ratio stacks

Номер патента: WO2019217584A1. Автор: Elliott Franke,Angelique RALEY,Sophie Thibaut. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2019-11-14.

Method for reducing dimensions between patterns on a photoresist

Номер патента: US20030216051A1. Автор: Henry Chung,Ming-Chung Liang,Shin-Yi Tsai. Владелец: Macronix International Co Ltd. Дата публикации: 2003-11-20.

Dry etching process and method for manufacturing magnetic memory device

Номер патента: US20070026681A1. Автор: Tetsuya Tatsumi,Seiji Samukawa,Toshiaki Shiraiwa. Владелец: Sony Corp. Дата публикации: 2007-02-01.

Lithography Process and Composition with De-Crosslinkable Crosslink Material

Номер патента: US20150279660A1. Автор: Yu-Chung Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-10-01.

Diode-pumped solid-state laser apparatus for laser annealing

Номер патента: WO2020154136A9. Автор: Andrea Caprara,Kai Schmidt,Norman Hodgson. Владелец: Coherent, Inc.. Дата публикации: 2021-07-01.

Diode-pumped solid-state laser apparatus for laser annealing

Номер патента: WO2020154136A1. Автор: Andrea Caprara,Kai Schmidt,Norman Hodgson. Владелец: Coherent, Inc.. Дата публикации: 2020-07-30.

Isolation Structures Of Semiconductor Devices

Номер патента: US20240250122A1. Автор: I-Sheng Chen,Chao-Ching Cheng,Tzu-Chiang CHEN,Hung-Li Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-25.

Process recipe, method and system for generating same, and semiconductor manufacturing method

Номер патента: US20230221702A1. Автор: Shaowen QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-13.

Alignment mark, imprinting method, and manufacturing method of semiconductor device

Номер патента: US20190369488A1. Автор: Satoshi MITSUGI. Владелец: Toshiba Memory Corp. Дата публикации: 2019-12-05.

Process and device for adjusting the distance between a workpiece and a mask

Номер патента: US5543890A. Автор: Yoneta Tanaka,Shoichi Okada. Владелец: Ushio Denki KK. Дата публикации: 1996-08-06.

System for forming nano-laminate optical coating

Номер патента: EP3655562A1. Автор: Terry Bluck,Wendell Thomas Blonigan. Владелец: Intevac Inc. Дата публикации: 2020-05-27.

System for forming nano-laminate optical coating

Номер патента: US11897811B2. Автор: Terry Bluck,Wendell Thomas Blonigan. Владелец: Intevac Inc. Дата публикации: 2024-02-13.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4160657A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-04-05.

Temperature control of semiconductor processing chambers

Номер патента: WO2014040038A2. Автор: Michael Nam,David Gunther,Jae Yeol Park,Kyle PETERSEN. Владелец: Semicat, Inc.. Дата публикации: 2014-03-13.

Silicon doping for laser splash blockage

Номер патента: US11810780B2. Автор: Angelo Oria Espina. Владелец: Micron Technology Inc. Дата публикации: 2023-11-07.

Silicon doping for laser splash blockage

Номер патента: US20200402794A1. Автор: Angelo Oria Espina. Владелец: Micron Technology Inc. Дата публикации: 2020-12-24.

Packaging methods of semiconductor devices

Номер патента: US20230047166A1. Автор: Peiyan CAO,Yurun LIU. Владелец: Shenzhen Xpectvision Technology Co Ltd. Дата публикации: 2023-02-16.

Mems process and device

Номер патента: WO2009024762A2. Автор: Richard Ian Laming,Tsjerk Hans Hoekstra,Anthony Bernard Traynor. Владелец: Wolfson Microelectronics plc. Дата публикации: 2009-02-26.

Method and system for optical characterization of optical crystallization

Номер патента: US20090003801A1. Автор: FRANK Simon,Thomas Wenzel. Владелец: Individual. Дата публикации: 2009-01-01.

Manufacturing method of semiconductor device

Номер патента: US20240204075A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-20.

Semiconductor structure and manufacturing method of semiconductor structure

Номер патента: US20220278054A1. Автор: Mengmeng Wang,Hsin-Pin Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-01.

System for Processing Semiconductor Substrate by Using Laser and Method of the Same

Номер патента: US20080015728A1. Автор: Daejin Kim,HyunJung Kim,Jekil Ryu. Владелец: Individual. Дата публикации: 2008-01-17.

Manufacturing method for semiconductor film, photodetector element, image sensor, and semiconductor film

Номер патента: US20220393126A1. Автор: Masahiro Takata,Masashi Ono. Владелец: Fujifilm Corp. Дата публикации: 2022-12-08.

Mask frame and mask assembly

Номер патента: WO2019241297A1. Автор: Min Jong Kim,Saeng Hyun Cho. Владелец: Applied Materials, Inc.. Дата публикации: 2019-12-19.

Method of forming fine island patterns of semiconductor devices

Номер патента: US20190074182A1. Автор: Shing-Yih Shih,Chiang-Lin Shih. Владелец: Nanya Technology Corp. Дата публикации: 2019-03-07.

Passivation layer of semiconductor device and method for forming the same

Номер патента: US5821174A. Автор: Kwon Hong,Young Jung Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-10-13.

Process of forming an electronic device including a transistor structure

Номер патента: US11342443B2. Автор: Abhishek Banerjee,Peter Moens. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2022-05-24.

Process of forming an electronic device including a transistor structure

Номер патента: US20190035910A1. Автор: Abhishek Banerjee,Peter Moens. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2019-01-31.

Process of Forming an Electronic Device Including a Transistor Structure

Номер патента: US20200227536A1. Автор: Abhishek Banerjee,Peter Moens. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2020-07-16.

Systems for and methods of laser-enhanced plasma processing of semiconductor materials

Номер патента: US8796151B2. Автор: Andrew M. Hawryluk,Arthur W. Zafiropoulo. Владелец: Ultratech Inc. Дата публикации: 2014-08-05.

Axisymmetric correction coil system for nmr magnets

Номер патента: CA1257644A. Автор: Mark E. Vermilyea. Владелец: General Electric Co. Дата публикации: 1989-07-18.

Production support method and system for power control panel production automation

Номер патента: EP4296931A1. Автор: Jun Ho Lee. Владелец: End Electric Co Ltd. Дата публикации: 2023-12-27.

Production support method and system for power control panel production automation

Номер патента: US20240039251A1. Автор: Jun Ho Lee. Владелец: End Electric Co Ltd. Дата публикации: 2024-02-01.

Production support method and system for power control panel production automation

Номер патента: US11979004B2. Автор: Jun Ho Lee. Владелец: End Electric Co Ltd. Дата публикации: 2024-05-07.

Fabrication method of semiconductor device and test method of semiconductor device

Номер патента: US20220301948A1. Автор: Atsushi Shoji,Soichi Yoshida. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-09-22.

Methods of sensing temperature of an electronic device workpiece and methods of semiconductor processing

Номер патента: US20020034832A1. Автор: Salman Akram,David R. Hembree. Владелец: Individual. Дата публикации: 2002-03-21.

Placement method of an electronic module on a substrate and device produced by said method

Номер патента: MY149176A. Автор: Francois Droz. Владелец: Nagraid Sa. Дата публикации: 2013-07-31.

Method of fabricating specimen for analyzing defects of semiconductor device

Номер патента: US5840205A. Автор: Doo-Jin Park,Jeong-Hoi Koo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-11-24.

Embedded memory device and method for embedding memory device in a substrate

Номер патента: US20200075567A1. Автор: Andrew Collins. Владелец: Intel Corp. Дата публикации: 2020-03-05.

Testing method of packaging process and packaging structure

Номер патента: US20190080971A1. Автор: Hung-Hsin Hsu,Shang-Yu Chang Chien,Nan-Chun Lin. Владелец: Powertech Technology Inc. Дата публикации: 2019-03-14.

Method and system for demodulating signals

Номер патента: EP2649423A1. Автор: Maarten Kuijk,Volodymyr Seliuchenko. Владелец: MELEXIS TESSENDERLO NV. Дата публикации: 2013-10-16.

Method for manufacturing organic EL display panel and system for manufacturing organic EL display panel

Номер патента: US9548452B2. Автор: Toyoji Ito. Владелец: Joled Inc. Дата публикации: 2017-01-17.

System for and method of wireless communication with seat belt

Номер патента: US11904803B2. Автор: Chang Kyu BOK,Dong Ryul Shin,Man Seok Kim,Su Cheon Kim,Yong Chan Yoon. Владелец: Samsung Industries Co Ltd. Дата публикации: 2024-02-20.

System for and method of wireless communication with seat belt

Номер патента: US20230242069A1. Автор: Chang Kyu BOK,Dong Ryul Shin,Man Seok Kim,Su Cheon Kim,Yong Chan Yoon. Владелец: Samsung Industries Co Ltd. Дата публикации: 2023-08-03.

Method, device and system for monitoring the takeoff and/or landing process of an aircraft

Номер патента: CN112802367A. Автор: U·舍弗林. Владелец: Wokoport Ltd. Дата публикации: 2021-05-14.

Fabrication method of semiconductor luminescent device

Номер патента: US7629187B2. Автор: Hiroyuki Sumitomo,Makoto Ueda,Satoshi Kajiyama. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2009-12-08.

Method for the production of semiconductor lasers

Номер патента: US4569721A. Автор: Toshiro Hayakawa,Nobuyuki Miyauchi,Takahiro Suyama,Seiki Yano. Владелец: Sharp Corp. Дата публикации: 1986-02-11.

Fabrication method of semiconductor luminescent device

Номер патента: US20070166961A1. Автор: Hiroyuki Sumitomo,Makoto Ueda,Satoshi Kajiyama. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2007-07-19.

Manufacturing method of semiconductor device and adjusting apparatus

Номер патента: US9153459B2. Автор: Takashi Kyuho. Владелец: Toshiba Corp. Дата публикации: 2015-10-06.

Semiconductor device, manufacturing method of semiconductor device, power unit, and amplifier

Номер патента: US20180090595A1. Автор: Shirou Ozaki. Владелец: Fujitsu Ltd. Дата публикации: 2018-03-29.

Manufacturing method of semiconductor device having semiconductor layers with different thicknesses

Номер патента: US8962418B2. Автор: Yutaka Hoshino. Владелец: Renesas Electronics Corp. Дата публикации: 2015-02-24.

Method and apparatus for thin-layer chemical processing of semiconductor wafers

Номер патента: EP1639629A1. Автор: Sophia Wen. Владелец: Individual. Дата публикации: 2006-03-29.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4148769A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-03-15.

Manufacturing apparatus and manufacturing method of semiconductor device

Номер патента: US20230230853A1. Автор: Masafumi Miyamoto,Takahiro Shimizu,Daisuke Tani. Владелец: Shinkawa Ltd. Дата публикации: 2023-07-20.

Mass transfer of semiconductor die using transfer elements

Номер патента: US20230402310A1. Автор: Robert Wilcox,Joseph G. Sokol,David Suich,Colin Blakely,Michael Check,Andre Pertuit. Владелец: Creeled Inc. Дата публикации: 2023-12-14.

Method for depositing materials on a substrate

Номер патента: WO2005048329A1. Автор: Noriaki Fukiage,Katherina Babich. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2005-05-26.

Manufacturing Method of Semiconductor Device

Номер патента: US20080138995A1. Автор: Mitsuhiro Omura. Владелец: Individual. Дата публикации: 2008-06-12.

Manufacturing method of semiconductor device

Номер патента: US20110045615A1. Автор: Mitsuhiro Omura. Владелец: Toshiba Corp. Дата публикации: 2011-02-24.

Manufacturing method of semiconductor device

Номер патента: US20080102572A1. Автор: Hirotaka Komatsubara. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2008-05-01.

Substrate processing method and manufacturing method of semiconductor device

Номер патента: US20060289431A1. Автор: Shinichi Ito,Tsuyoshi Shibata,Kei Hayasaki,Koutarou Sho. Владелец: Individual. Дата публикации: 2006-12-28.

Semiconductor device and manufacturing method of semiconductor device

Номер патента: US20140091316A1. Автор: Toshihide Kikkawa. Владелец: Fujitsu Ltd. Дата публикации: 2014-04-03.

Emi shielding material, emi shielding process, and communication module product

Номер патента: EP4036962A1. Автор: ZHOU Jiang,Linping Li,Jinghao SHENG. Владелец: Huzhou Jianwenlu Tech Co Ltd. Дата публикации: 2022-08-03.

Method and system for package orientation checking for laser mark operations

Номер патента: US6382395B1. Автор: Somboon Sritulanont,Naramitr Jitramas,Amorn Hongmala. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-05-07.

Apparatus and method of treating surface of semiconductor substrate

Номер патента: US20160049289A1. Автор: Yoshihiro Ogawa,Hiroshi Tomita,Shinsuke Kimura,Hisashi Okuchi,Tatsuhiko Koide. Владелец: Toshiba Corp. Дата публикации: 2016-02-18.

Film forming device, film forming method, oxide semiconductor film and multilayer body

Номер патента: EP4428902A1. Автор: Takahiro Sakatsume. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-09-11.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US20150155300A1. Автор: Yutaka Hoshino. Владелец: Renesas Electronics Corp. Дата публикации: 2015-06-04.

Manufacturing method of semiconductor package

Номер патента: US12033928B2. Автор: Ming-Chih Yew,Shin-puu Jeng,Po-Yao Lin,Shu-Shen Yeh,Chia-Kuei Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Apparatus of semiconductor memory and method of manufacturing the same

Номер патента: US20200118976A1. Автор: Jung Seok AHN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-04-16.

Facilitating formation of a via in a substrate

Номер патента: US20240071779A1. Автор: David Howard Levy,Shelby Forrester Nelson. Владелец: Mosaic Microsystems LLC. Дата публикации: 2024-02-29.

Method for forming a silicide layer of semiconductor device

Номер патента: US20030119309A1. Автор: Jeong Lee,Chang Ryoo,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-26.

Fabrication of a strained region on a substrate

Номер патента: US20180308976A1. Автор: Isaac Lauer,Renee T. Mo,Jiaxing Liu. Владелец: International Business Machines Corp. Дата публикации: 2018-10-25.

Method for Forming Fin Structure in Fin Field Effect Transistor Process and Fin Structure

Номер патента: US20230170225A1. Автор: Xiaobo Guo. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-06-01.

Method for producing gallium oxide semiconductor film and film formation device

Номер патента: EP4180557A1. Автор: Takenori Watabe. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-05-17.

Re-oxidation process of semiconductor device

Номер патента: US20040106281A1. Автор: June-Min Yao,Jui-Neng Tu. Владелец: Macronix International Co Ltd. Дата публикации: 2004-06-03.

Method for forming step channel of semiconductor device

Номер патента: US20060141800A1. Автор: Young Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for forming step channel of semiconductor device

Номер патента: US7309656B2. Автор: Young Man Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Layout of semiconductor device, semiconductor device and method of forming the same

Номер патента: US10553577B2. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2020-02-04.

Oxide semiconductor film and film-forming method the same, semiconductor apparatus

Номер патента: US20240234138A9. Автор: Takahiro Sakatsume. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-07-11.

Semiconductor manufacturing apparatus and manufacturing method of semiconductor device

Номер патента: US20210296143A1. Автор: Satoshi Nakaoka,Shinsuke MURAKI. Владелец: Kioxia Corp. Дата публикации: 2021-09-23.

Process of forming epitaxial substrate and semiconductor device provided on the same

Номер патента: US20180174824A1. Автор: Hajime Matsuda. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2018-06-21.

Method of removing a substrate

Номер патента: EP3619748A1. Автор: Takeshi Kamikawa,Hongjian Li,Srinivas GANDROTHULA,Daniel A. Cohen. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2020-03-11.

Method of removing a substrate

Номер патента: EP4411843A2. Автор: Takeshi Kamikawa,Hongjian Li,Srinivas GANDROTHULA,Daniel A. Cohen. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-08-07.

Thin film crystallization process

Номер патента: WO2018209088A1. Автор: Shane Thomas MCMAHON. Владелец: McMAHON Shane Thomas. Дата публикации: 2018-11-15.

Thin film crystallization process

Номер патента: US11810785B2. Автор: Shane Thomas MCMAHON. Владелец: Lux Semiconductors Inc. Дата публикации: 2023-11-07.

Removal of semiconductor growth defects

Номер патента: US20170076954A1. Автор: Soon-Cheon Seo,Linus Jang. Владелец: International Business Machines Corp. Дата публикации: 2017-03-16.

Removal of semiconductor growth defects

Номер патента: US20150380405A1. Автор: Soon-Cheon Seo,Linus Jang. Владелец: International Business Machines Corp. Дата публикации: 2015-12-31.

Improvements in or relating to deflector coil systems for television display tubes

Номер патента: GB859040A. Автор: . Владелец: Philips Electrical Industries Ltd. Дата публикации: 1961-01-18.

Process of manufacturing a piezoelectric actuator for tunable electronic components on a carrier substrate

Номер патента: US7992271B2. Автор: Sarabjit Mehta. Владелец: HRL LABORATORIES LLC. Дата публикации: 2011-08-09.

Automated characterization system for laser chip on a submount

Номер патента: WO2007095555A3. Автор: Ting Shi,Daniel Tran,Pavel Ploscariu. Владелец: Pavel Ploscariu. Дата публикации: 2008-05-22.

Production Process of Tag Antenna

Номер патента: US20140284388A1. Автор: Zhijia Liu,Guohong DU. Владелец: Individual. Дата публикации: 2014-09-25.

Non-destructive interconnect system for semiconductor devices and a carrier assembly for use therewith

Номер патента: US5741141A. Автор: Austin S. O'Malley. Владелец: Texas Instruments Inc. Дата публикации: 1998-04-21.

Semiconductor device having resistive element formed of semiconductor film

Номер патента: US20030025162A1. Автор: Hidekazu Oda. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-02-06.

AIN Layer, Its Fabrication Process and Epitaxial Wafer

Номер патента: US20240243219A1. Автор: HAN Jiang,Zhijun Xu,Chuanguo CHEN,Baokun TANG,Weizi SONG,Xiaosong RAO. Владелец: Focus Lightings Tech Co ltd. Дата публикации: 2024-07-18.

Process and apparatus for producing a substrate having a coating resin layer

Номер патента: US20060062903A1. Автор: Ichiro Kataoka,Hidenori Shiotsuka,Akiharu Takabayashi. Владелец: Canon Inc. Дата публикации: 2006-03-23.

Trench process and structure for backside contact solar cells with polysilicon doped regions

Номер патента: EP4358155A3. Автор: David D. Smith. Владелец: Maxeon Solar Pte Ltd. Дата публикации: 2024-07-24.

Trench process and structure for backside contact solar cells with polysilicon doped regions

Номер патента: EP4358155A2. Автор: David D. Smith. Владелец: Maxeon Solar Pte Ltd. Дата публикации: 2024-04-24.

Semiconductor structure and mask plate structure

Номер патента: US11984399B2. Автор: XIANG Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-14.

Method for fabricating stack structure of semiconductor packages

Номер патента: US8420521B2. Автор: Han-Ping Pu,Cheng-Hsu Hsiao,Ho-Yi Tsai,Fang-Lin Tsai. Владелец: Siliconware Precision Industries Co Ltd. Дата публикации: 2013-04-16.

Manufacturing method and manufacturing apparatus of semiconductor device

Номер патента: US8445359B2. Автор: Shunpei Yamazaki,Koichiro Tanaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2013-05-21.

Variable quality semiconductor film substrate

Номер патента: US20040101998A1. Автор: Apostolos Voutsas,Mark Crowder,Yasuhiro Mitiani. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2004-05-27.

Versatile system for charge dissipation in the formation of semiconductor device structures

Номер патента: US20070057247A1. Автор: Weidong Tian,Zafar Imam,Bradley Sucher. Владелец: Texas Instruments Inc. Дата публикации: 2007-03-15.

Versatile system for charge dissipation in the formation of semiconductor device structures

Номер патента: US20060214170A1. Автор: Weidong Tian,Zafar Imam,Bradley Sucher. Владелец: Texas Instruments Inc. Дата публикации: 2006-09-28.

Versatile system for charge dissipation in the formation of semiconductor device structures

Номер патента: US20060033173A1. Автор: Weidong Tian,Zafar Imam,Bradley Sucher. Владелец: Texas Instruments Inc. Дата публикации: 2006-02-16.

A method and a system for fabricating photovoltaic devices on variably-sized substrates

Номер патента: SG11201906667SA. Автор: Gary FAYKOSH. Владелец: Best Safety Glass Mfg S Pte Ltd. Дата публикации: 2019-08-27.

Layout pattern of semiconductor varactor and forming method thereof

Номер патента: US20240222369A1. Автор: Ching-Wen Hung,Ying-Ren Chen,Peng-Hsiu Chen,Su-Ming Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-04.

Layout pattern of semiconductor varactor and forming method thereof

Номер патента: EP4394874A1. Автор: Ching-Wen Hung,Ying-Ren Chen,Peng-Hsiu Chen,Su-Ming Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2024-07-03.

Fabrication method and structure of semiconductor non-volatile memory device

Номер патента: US20120086070A1. Автор: Kan Yasui,Shinichiro Kimura,Digh Hisamoto,Nozomu Matsuzaki. Владелец: Individual. Дата публикации: 2012-04-12.

Versatile system for limiting electric field degradation of semiconductor structures

Номер патента: US20050258494A1. Автор: Greg Baldwin,PR Chidambaram. Владелец: Texas Instruments Inc. Дата публикации: 2005-11-24.

Method for making oxide semiconductor film

Номер патента: US20170044655A1. Автор: Li Guo,Ming Zhao,Da-Ming Zhuang,Ming-Jie Cao,Yao-Wei Wei,Ze-Dong Gao. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2017-02-16.

Manufacturing method of semiconductor device

Номер патента: US20110183470A1. Автор: Ryosuke Watanabe. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-07-28.

Manufacturing method of semiconductor device

Номер патента: WO2007043285A9. Автор: Ryosuke Watanabe. Владелец: Ryosuke Watanabe. Дата публикации: 2007-06-07.

Preparation process of image sensors

Номер патента: US9455297B2. Автор: Siping Hu,Jifeng Zhu,Jinwen Dong,Sheng'an XIAO. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2016-09-27.

Solar cell metal electrode and preparation method therefor, and mask

Номер патента: AU2021262267B2. Автор: Lianggong HOU. Владелец: Longi Green Energy Technology Co Ltd. Дата публикации: 2024-07-18.

Structure of a substrate for application in an electric power module

Номер патента: US20210151364A1. Автор: Young Seok Kim. Владелец: Kia Motors Corp. Дата публикации: 2021-05-20.

Fabrication method of semiconductor device using epitaxial growth process

Номер патента: US5723378A. Автор: Fumihiko Sato. Владелец: NEC Corp. Дата публикации: 1998-03-03.

Mask sheet and mask device

Номер патента: US20220205076A1. Автор: QIAN Xu,Yan Huang,Jianbo Li,Tong Niu,Fengli JI,Chang Luo,Guomeng Zhang. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2022-06-30.

Display panel, display apparatus and mask

Номер патента: US20220293696A1. Автор: Yu Xin,Yuantao WU. Владелец: Wuhan Tianma Microelectronics Co Ltd. Дата публикации: 2022-09-15.

Multicolor electronic devices and processes of forming the same by printing

Номер патента: EP2438638A2. Автор: Matthew Stainer,Nugent Truong. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2012-04-11.

System for charging an electric vehicle, electric vehicle and method

Номер патента: US20160200208A1. Автор: Bernd Eckert,Ian Faye. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2016-07-14.

Data transmission and service management system for public transports

Номер патента: EP1069785A3. Автор: Alessandro Nessi. Владелец: Ets Elettronica Terminal Sistemi Srl. Дата публикации: 2001-09-19.

Enhanced vision processing and sensor system for autonomous vehicle

Номер патента: US20240005465A1. Автор: Matthew Oswald,Ron Rosenberg. Владелец: Tesla Inc. Дата публикации: 2024-01-04.

Systems for monitoring carbon nanostructure growth

Номер патента: EP2870281A1. Автор: Harry C. Malecki,Jordan T. Ledford,Andy Chiu. Владелец: Applied Nanostructured Solutions LLC. Дата публикации: 2015-05-13.

Systems for monitoring carbon nanostructure growth

Номер патента: WO2014008019A1. Автор: Harry C. Malecki,Jordan T. Ledford,Andy Chiu. Владелец: APPLIED NANOSTRUCTURED SOLUTIONS, LLC. Дата публикации: 2014-01-09.

Process and apparatus involving a cutting wire spooling system for cutting brick and tile

Номер патента: US4316866A. Автор: Albert R. Martin. Владелец: Martin R and Associates. Дата публикации: 1982-02-23.

Signal processing method and system for blind source signal separation

Номер патента: WO2002039682A2. Автор: James Stone. Владелец: THE UNIVERSITY OF SHEFFIELD. Дата публикации: 2002-05-16.

System for invoking for a process

Номер патента: US20230305909A1. Автор: MAO Yu,Siddharth Uppal,Arvind Muthukrishnan,Ojasvi CHOUDHARY,Tatiana CRISTEA. Владелец: Individual. Дата публикации: 2023-09-28.

System for invoking for a process

Номер патента: WO2022055681A1. Автор: Yu Mao,Siddharth Uppal,Arvind Muthukrishnan,Ojasvi CHOUDHARY,Tatiana CRISTEA. Владелец: Microsoft Technology Licensing, LLC. Дата публикации: 2022-03-17.

Method and system for providing prepaid data service

Номер патента: US20070214083A1. Автор: Von McConnell,Bryce Jones,Correy Trupp. Владелец: Sprint Spectrum LLC. Дата публикации: 2007-09-13.

Signal processing method and system for blind source signal separation

Номер патента: WO2002039682A3. Автор: James Stone. Владелец: Univ Sheffield. Дата публикации: 2002-12-27.

Computer implemented method and system for proxy voting

Номер патента: US20170346918A1. Автор: Vikas Kulshrestha,Bonnie Saynay,Kartik Seksaria. Владелец: Invesco Ltd. Дата публикации: 2017-11-30.

Camera system for an x-ray counter system

Номер патента: US20210183107A1. Автор: Eddy Yining Lin. Владелец: Scientific Technology Electronics Products Inc. Дата публикации: 2021-06-17.

System for creating collaborative project data

Номер патента: WO2019118847A1. Автор: Gregory S. HEIN,Bryan Gerald GOERGER. Владелец: Hein Gregory S. Дата публикации: 2019-06-20.

Method and system for personal identifiable information removal and data processing of human multimedia

Номер патента: WO2023208090A1. Автор: Ning Li,Hai Xiang Lee. Владелец: Neufast Limited. Дата публикации: 2023-11-02.

Method for processing and displaying multi-channel spectral histograms and systems for same

Номер патента: US11761879B2. Автор: David A. Roberts,Christopher J. Wolf. Владелец: Becton Dickinson and Co. Дата публикации: 2023-09-19.

Method for processing and displaying multi-channel spectral histograms and systems for same

Номер патента: EP4226126A1. Автор: David A. Roberts,Christopher J. Wolf. Владелец: Becton Dickinson and Co. Дата публикации: 2023-08-16.

Suction device used in aging process of a microwave tube

Номер патента: US20030087579A1. Автор: Han-Ying Chen. Владелец: Air Asia Tech Inc. Дата публикации: 2003-05-08.

Deposition system with integrated cooling on a rotating drum

Номер патента: US12057297B2. Автор: Richard DeVito. Владелец: Individual. Дата публикации: 2024-08-06.

Method for producing a plurality of semiconductor lasers and semiconductor laser

Номер патента: US20240047935A1. Автор: Sven GERHARD,Lars Nähle. Владелец: Ams Osram International GmbH. Дата публикации: 2024-02-08.

Printing system for cut sheets comprising a paper path with a loop

Номер патента: US20170246888A1. Автор: Patrick G.H. VESTJENS,Jacobus J. KANDELAARS. Владелец: Oce Technologies BV. Дата публикации: 2017-08-31.

Printing system for cut sheets comprising a paper path with a loop

Номер патента: EP3221752A1. Автор: Patrick G.H. VESTJENS,Jacobus J. KANDELAARS. Владелец: Oce Technologies BV. Дата публикации: 2017-09-27.

Printing system for cut sheets comprising a paper path with a loop

Номер патента: WO2016079160A1. Автор: Patrick G.H. VESTJENS,Jacobus J. KANDELAARS. Владелец: OCE-TECHNOLOGIES B.V.. Дата публикации: 2016-05-26.

Battery management system for autonomous power tool

Номер патента: EP4385108A1. Автор: Hengsheng Liu. Владелец: TechTronic CordLess GP. Дата публикации: 2024-06-19.

Method and system for simultaneous loading and unloading of substrates in platesetter

Номер патента: US20040025731A1. Автор: Richard Shih. Владелец: Agfa Corp. Дата публикации: 2004-02-12.

Method and system for simultaneous loading and unloading of substrates in platesetter

Номер патента: EP1388416A3. Автор: Richard Shih. Владелец: Agfa Corp. Дата публикации: 2007-02-14.

Computer-assisted welding process and system, based on a view of the welding scene

Номер патента: US5643478A. Автор: Alain Dillet,Diane De Prunele. Владелец: Commissariat a lEnergie Atomique CEA. Дата публикации: 1997-07-01.

Printing process and system for ascertaining register defects

Номер патента: US20120240801A1. Автор: Eberhard Redmer,Günter Streitmatter. Владелец: HEIDELBERGER DRUCKMASCHINEN AG. Дата публикации: 2012-09-27.

Extraction system for a processing machine for the thermal processing of workpieces

Номер патента: DE202020102323U1. Автор: . Владелец: Trumpf Werkzeugmaschinen SE and Co KG. Дата публикации: 2020-05-26.

Projection system

Номер патента: US20060232716A1. Автор: Wen-Chang Chien,Yen-Hsien Su,Lien-Fu Hu. Владелец: Coretronic Corp. Дата публикации: 2006-10-19.

Method and system for preserving a surgically explanted tissue sample

Номер патента: RU2770611C1. Автор: Энрико БИКОККИ. Владелец: Энрико БИКОККИ. Дата публикации: 2022-04-19.

Mounting system for mounting a top unit onto an electronic controller module

Номер патента: WO2024078984A1. Автор: Charles Hahs,Rossano De Bona. Владелец: Danfoss A/S. Дата публикации: 2024-04-18.

Process and system for monitoring the material flow during the processing of sterile goods

Номер патента: DE4420707C2. Автор: Bernhard Kammermeier,Michael Filter. Владелец: MAQUET GMBH. Дата публикации: 2002-01-24.

Projection system

Номер патента: US20170094234A1. Автор: Toru Takahama. Владелец: Casio Computer Co Ltd. Дата публикации: 2017-03-30.

Microfluidic lab-on-a-chip for gene synthesis

Номер патента: US11939570B2. Автор: Tim Rausch,Walter R Eppler,Gemma MENDONSA. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2024-03-26.

Mounting system for mounting a top unit onto an electronic controller module

Номер патента: EP4354665A1. Автор: Charles Hahs,Rossano De Bona. Владелец: Danfoss AS. Дата публикации: 2024-04-17.

Co-operative advance warning system for road hazards

Номер патента: CA2335877C. Автор: Darrel Rowledge. Владелец: Individual. Дата публикации: 2008-10-28.

Valving system for use in centrifugal microfluidic platforms

Номер патента: EP2928607A2. Автор: Gregg KELLOGG. Владелец: Radisens Diagnostic Ltd. Дата публикации: 2015-10-14.

Method and system for video classification

Номер патента: US20060256855A1. Автор: Stephen Gordon. Владелец: Broadcom Advanced Compression Group LLC. Дата публикации: 2006-11-16.

Visual recording system for video signal

Номер патента: US3742134A. Автор: S Uemura. Владелец: Individual. Дата публикации: 1973-06-26.

Measuring system for continuous casting machines

Номер патента: EP2089171A1. Автор: Richard Cowlishaw. Владелец: Sarclad Ltd. Дата публикации: 2009-08-19.

Measuring system for continuous casting machines

Номер патента: EP2089171B1. Автор: Richard Cowlishaw. Владелец: Sarclad Ltd. Дата публикации: 2010-03-24.

Measuring system for continuous casting machines

Номер патента: WO2008065371A1. Автор: Richard Cowlishaw. Владелец: SARCLAD LIMITED. Дата публикации: 2008-06-05.

Method and system for replacing media stream in a communication process of a terminal

Номер патента: EP1860841A1. Автор: Jilan Yang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2007-11-28.

Method for calculation, device for laser processing, and non-transitory computer-readable storage medium

Номер патента: US20240173793A1. Автор: Yuanxiu ZHANG. Владелец: Makeblock Co Ltd. Дата публикации: 2024-05-30.

Method for determining a parameter of a processing process and processing machine

Номер патента: US12053840B2. Автор: Boris Regaard,Winfried Magg. Владелец: Trumpf Werkzeugmaschinen SE and Co KG. Дата публикации: 2024-08-06.

Carbide, nitride and silicide enhancers for laser absorption

Номер патента: WO2019147407A8. Автор: Joseph E. Sarver,George E. Sakoske,Dennis R. GILMORE,Nicholas M. Karpa. Владелец: FERRO CORPORATION. Дата публикации: 2020-07-09.

Carbide, nitride and silicide enhancers for laser absorption

Номер патента: EP3720643A1. Автор: Joseph E. Sarver,George E. Sakoske,Dennis R. GILMORE,Nicholas M. Karpa. Владелец: Ferro Corp. Дата публикации: 2020-10-14.

Carbide, nitride and silicide enhancers for laser absorption

Номер патента: CA3087700A1. Автор: Joseph E. Sarver,George E. Sakoske,Dennis R. GILMORE,Nicholas M. Karpa. Владелец: Ferro Corp. Дата публикации: 2019-08-01.

Method and apparatus for laser surfacing

Номер патента: RU2228243C2. Автор: Джастин КОЧ,Джиоти МАЗУМДЕР. Владелец: Джиоти МАЗУМДЕР. Дата публикации: 2004-05-10.

Method and system for dynamic voice enhancement

Номер патента: EP4131265A2. Автор: Shao-Fu Shih,Yi Xiao,Jianwen ZHENG,Evin JIAO. Владелец: Harman International Industries Inc. Дата публикации: 2023-02-08.

Method and system for dynamic voice enhancement

Номер патента: US20230040743A1. Автор: Shao-Fu Shih,Yi Xiao,Jianwen ZHENG,Evin JIAO. Владелец: Harman International Industries Inc. Дата публикации: 2023-02-09.

Method and system for data handling

Номер патента: US20190310996A1. Автор: Fredrik PERSSON. Владелец: King com Ltd. Дата публикации: 2019-10-10.

Method and system for data handling

Номер патента: US11163796B2. Автор: Fredrik PERSSON. Владелец: King com Ltd. Дата публикации: 2021-11-02.

Method and system for data handling

Номер патента: WO2017186545A1. Автор: Fredrik PERSSON. Владелец: King.com Limited. Дата публикации: 2017-11-02.

Method and system for data handling

Номер патента: EP3436987A1. Автор: Fredrik PERSSON. Владелец: King com Ltd. Дата публикации: 2019-02-06.

Method and system for data handling

Номер патента: US20220035836A1. Автор: Fredrik PERSSON. Владелец: King com Ltd. Дата публикации: 2022-02-03.

Methods and systems for annotation of digital information

Номер патента: US12086855B2. Автор: Edmond K. Chow. Владелец: Individual. Дата публикации: 2024-09-10.

Method and System for Detecting Behaviour of Remotely Intruding into Computer

Номер патента: US20150207810A1. Автор: Jianhua Lu,Xiaolin Zhang,Wenbin Zheng,Paul Fan. Владелец: Beijing Qihoo Technology Co Ltd. Дата публикации: 2015-07-23.

Method, apparatus, and system for processing time

Номер патента: US20120179739A1. Автор: FANG JI,WEI Yan. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2012-07-12.

Method and ear protection system for monitoring an industrial process

Номер патента: WO2014016061A1. Автор: Jonas BRÖNMARK,Martin Olausson,Elina Vartiainen,Fredrik Alfredsson. Владелец: ABB RESEARCH LTD. Дата публикации: 2014-01-30.

Method and system for content discovery

Номер патента: EP2948827A1. Автор: Branislav Kveton,Sandilya Bhamidipati. Владелец: Thomson Licensing SAS. Дата публикации: 2015-12-02.

Method and system for inter-process communication

Номер патента: US20240231974A1. Автор: Xiang Li. Владелец: Beijing ByteDance Network Technology Co Ltd. Дата публикации: 2024-07-11.

Systems and methods for customized processing and visualization of data

Номер патента: US20240061553A1. Автор: Louis Buell,Mohamed Seck. Владелец: Capital One Services LLC. Дата публикации: 2024-02-22.

System and method for measuring the power consumed by a circuit on a printed circuit board

Номер патента: US20040257063A1. Автор: James Freeman,Kelly Coffey,James Kronrod. Владелец: Broadcom Corp. Дата публикации: 2004-12-23.

System and method for measuring the power consumed by a circuit on a printed circuit board

Номер патента: EP1209474B1. Автор: James J Freeman,Kelly Coffey,James M Kronrod. Владелец: Broadcom Corp. Дата публикации: 2006-03-08.

Image processing and method, and storage medium

Номер патента: US6515762B2. Автор: Yasutaka Noguchi. Владелец: Canon Inc. Дата публикации: 2003-02-04.

Projection system, projector apparatus, and projection method

Номер патента: US9928580B2. Автор: Motoyasu Tanaka,Eizi Kawai,Kazuhiro Hosoi,Yuki Haraguchi,Fumiya SHINGU. Владелец: MegaChips Corp. Дата публикации: 2018-03-27.

Fire risk detection system for an agricultural harvester

Номер патента: EP4353073A1. Автор: Demonie Lode A.,Bart M.A. Missotten,Dré W.J. Jongmans. Владелец: CNH Industrial Belgium NV. Дата публикации: 2024-04-17.

Communication system for mining robot

Номер патента: AU2021348500A9. Автор: WEI Ren,Yao Cui,Qian Huang,Shuai LIU,Songyang Zhang,Siwei GAO. Владелец: Ccteg Beijing Tianma Intelligent Control Technology Co Ltd. Дата публикации: 2024-02-08.

Communication system for mining robot

Номер патента: AU2021348500B2. Автор: WEI Ren,Yao Cui,Qian Huang,Shuai LIU,Songyang Zhang,Siwei GAO. Владелец: Ccteg Beijing Tianma Intelligent Control Technology Co Ltd. Дата публикации: 2024-06-20.

Fire risk detection system for an agricultural harvester

Номер патента: US20240133748A1. Автор: Bart M.A. Missotten,Dré W.J. Jongmans,Lode A. Demonie. Владелец: CNH Industrial Belgium NV. Дата публикации: 2024-04-25.

Fire risk detection system for an agricultural harvester

Номер патента: US20240230416A9. Автор: Bart M.A. Missotten,Dré W.J. Jongmans,Lode A. Demonie. Владелец: CNH Industrial Belgium NV. Дата публикации: 2024-07-11.

Motion estimation process and system using sparse search block-matching and integral projection

Номер патента: EP1078511A1. Автор: Naofumi Yanagihara,Ching-Fang Chang. Владелец: Sony Electronics Inc. Дата публикации: 2001-02-28.

Communication system for mining robot

Номер патента: AU2021348500A1. Автор: WEI Ren,Yao Cui,Qian Huang,Shuai LIU,Songyang Zhang,Siwei GAO. Владелец: Ccteg Beijing Tianma Intelligent Control Technology Co Ltd. Дата публикации: 2023-06-08.

Apparatus and methods for pre-processing and stabilization of captured image data

Номер патента: US20240205545A1. Автор: David Newman. Владелец: GoPro Inc. Дата публикации: 2024-06-20.

Process and system for selectable data transmission

Номер патента: US20240048535A1. Автор: Florian Baumgartner,Jan Linder,Marc Danzeisen,Simon Winiker. Владелец: InterDigital CE Patent Holdings SAS. Дата публикации: 2024-02-08.

Data transferring method based on protection and control system for intelligent substation

Номер патента: US20180088642A1. Автор: Yuqi Ding. Владелец: Kyland Technology Co Ltd. Дата публикации: 2018-03-29.

Euv exposure mask blanks and their fabrication process, and euv exposure mask

Номер патента: US20070015065A1. Автор: Tsukasa Abe,Shiho Sasaki. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2007-01-18.

Photopolymer stamp manufacturing process and preparation system and photopolymer stamp dies

Номер патента: WO2010062444A1. Автор: Richard V. Flaum. Владелец: PSA ESSENTIALS LLC. Дата публикации: 2010-06-03.

Photopolymer stamp manufacturing process and preparation system and photopolymer stamp dies

Номер патента: EP2356515A1. Автор: Richard V. Flaum. Владелец: PSA ESSENTIALS LLC. Дата публикации: 2011-08-17.

Clamping device of micro-nano imprint process and the method thereof

Номер патента: US20120001365A1. Автор: Fuh-Yu Chang. Владелец: National Taiwan University of Science and Technology NTUST. Дата публикации: 2012-01-05.

Process and system for the decoration of ceramic slabs

Номер патента: WO2022137075A1. Автор: Andrea Vaccari. Владелец: Graf Industries S.P.A.. Дата публикации: 2022-06-30.

Duplex printing system for cut sheets and a method therefore

Номер патента: US20170326889A1. Автор: Abraham Thijssen,Henricus J.A. VAN DE SANDE,Rob HOOGENDIJK. Владелец: Oce Holding BV. Дата публикации: 2017-11-16.

Print Process and System to Prevent Identification of Press Repeat

Номер патента: US20130270810A1. Автор: Michael Ross. Владелец: Individual. Дата публикации: 2013-10-17.

Control system for automated singulation system

Номер патента: US20220089379A1. Автор: Longyu Zhao,Tri Minh Quach,Xujie Wang,Canaan Seaton,Joseph Eaton. Владелец: Amazon Technologies Inc. Дата публикации: 2022-03-24.

Process and apparatus for treating wet fat biological tissue using a water miscible solvent

Номер патента: CA1255234A. Автор: Arnold Suresky. Владелец: Faraday Laboratories Inc. Дата публикации: 1989-06-06.

Control system for automated singulation system

Номер патента: US20210002082A1. Автор: Longyu Zhao,Tri Minh Quach,Xujie Wang,Canaan Seaton,Joseph Eaton. Владелец: Amazon Technologies Inc. Дата публикации: 2021-01-07.

Process and apparatus for enameling the inside surface of hollow vessels

Номер патента: CA1148418A. Автор: Ferdinand Hartmann. Владелец: Austria Email Ag. Дата публикации: 1983-06-21.

Process and apparatus for removing flesh from cooked baked potatoes

Номер патента: CA1323547C. Автор: Robert Michael Bannister. Владелец: Individual. Дата публикации: 1993-10-26.

Mask and air pressure control systems for use in coating deposition

Номер патента: CA3176820A1. Автор: Mehran Arbab,Alan Hudd,Simon Kew,Yong Han YEONG,Adam COLBOURNE,Jonny WAITE,Henry ROLT. Владелец: Individual. Дата публикации: 2021-11-11.

Process and catalyst for producing hydroperoxides

Номер патента: US7038090B1. Автор: Timothy A. Brandvold,Gregory J. Lewis,Lisa M. King,Lawrence E. Brewer. Владелец: UOP LLC. Дата публикации: 2006-05-02.

Improvement in a system for transporting a metal band in a process of obtaining the metal band from a metal powder

Номер патента: YU36632B. Автор: G Jackson,T Fieldsend. Владелец: British Steel Corp. Дата публикации: 1984-08-31.

Equalizing system for load lifting apparatus

Номер патента: CA1158607A. Автор: Jerry L. Reeves. Владелец: Towmotor Corp. Дата публикации: 1983-12-13.

Molding process of fiber reinforced plastics

Номер патента: CA1289318C. Автор: Yoshimasa Nakamura,Kiyoshi Komiya. Владелец: Takeda Chemical Industries Ltd. Дата публикации: 1991-09-24.

Duplex printing system for cut sheets and a method therefore

Номер патента: US10137701B2. Автор: Abraham Thijssen,Henricus J. A. Van De Sande,Rob HOOGENDIJK. Владелец: Oce Holding BV. Дата публикации: 2018-11-27.

Control system for car washing machines

Номер патента: US3823356A. Автор: J Paavola,L Julita. Владелец: Kone Lamminen Oy. Дата публикации: 1974-07-09.

Method and system for reducing industrial emissions

Номер патента: CA2805120C. Автор: Barry Neil Hooper. Владелец: REDEEM CCS PTY LTD. Дата публикации: 2018-08-07.

Control system for automated singulation system

Номер патента: US11772906B2. Автор: Longyu Zhao,Tri Minh Quach,Xujie Wang,Canaan Seaton,Joseph Eaton. Владелец: Amazon Technologies Inc. Дата публикации: 2023-10-03.

Control method and system for controlling a machine for the temperature processing of containers of food products

Номер патента: EP4014753B1. Автор: Andrea Solfa. Владелец: Sidel Participations SAS. Дата публикации: 2024-01-24.

Laser devices and methods for laser metal deposition

Номер патента: US20240139878A1. Автор: Lukas Hoppe. Владелец: Directedmetal 3d Sl. Дата публикации: 2024-05-02.

Work table for laser processing and method of operating the same

Номер патента: US20180154485A1. Автор: Gyoowan Han,Sungyong Lee,Jaeha Lim. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-06-07.

Laser devices and methods for laser metal deposition

Номер патента: WO2024089171A1. Автор: Lukas KENO HOPPE. Владелец: Directedmetal 3D S.L.. Дата публикации: 2024-05-02.

Resistance welding system for nut control

Номер патента: US20220258273A1. Автор: Oğuz UZEL. Владелец: Albaksan Dis Ticaret Ve Pazarlama AS. Дата публикации: 2022-08-18.

Resistance welding system for nut control

Номер патента: EP3990208A1. Автор: Oğuz UZEL. Владелец: Albaksan Dis Ticaret Ve Pazarlama A S. Дата публикации: 2022-05-04.

Method and System for Laser Processing of Workpieces in Liquid

Номер патента: US20240238899A1. Автор: Alexander Kanitz,Jan Stefan Hoppius,Jannis Köhler,Alexander Igelmann. Владелец: Lidrotec GmbH. Дата публикации: 2024-07-18.

Dust removal system for laser cleaning machine

Номер патента: US20240109109A1. Автор: Shaobo Zhang,Xiangqian Liu,Shaohui Cao,Xiangyang Ding. Владелец: LUOYANG XINCHENG PRECISION MACHINERY CO Ltd. Дата публикации: 2024-04-04.

Process and apparatus for sequential multi-beam laser processing of materials

Номер патента: US20020145235A1. Автор: William Brown,Ajay Malshe,Arzu zkan. Владелец: Individual. Дата публикации: 2002-10-10.

Method for laser welding and laser welding system

Номер патента: EP4052836A1. Автор: Ingolf GIESGEN. Владелец: Ipte Factory Automation NV. Дата публикации: 2022-09-07.

Conductance based control system for additive manufacturing

Номер патента: EP4069460A1. Автор: Petter HAGQVIST,Almir HERALIC. Владелец: Procada AB. Дата публикации: 2022-10-12.

Conductance based control system for additive manufacturing

Номер патента: CA3160060A1. Автор: Petter HAGQVIST,Almir HERALIC. Владелец: Heralic Almir. Дата публикации: 2021-06-10.

Conductance based control system for additive manufacturing

Номер патента: WO2021110793A1. Автор: Petter HAGQVIST,Almir HERALIC. Владелец: Procada AB. Дата публикации: 2021-06-10.

System and method for laser metal powder deposition

Номер патента: EP4076796A1. Автор: Marco FRANZOSI,Chiara DE GIORGI. Владелец: IPG Photonics Corp. Дата публикации: 2022-10-26.

Apparatus and method for laser scribing

Номер патента: US20120052605A1. Автор: Yu Sung JANG. Владелец: JANG Yu Sung. Дата публикации: 2012-03-01.

Mask orbiting for laser ablated feature formation

Номер патента: EP1140414A1. Автор: Curtis L. Shoemaker,Luis A. Aguirre. Владелец: Minnesota Mining and Manufacturing Co. Дата публикации: 2001-10-10.

Protection System for Laser Cutting Machine

Номер патента: AU2024202657A1. Автор: Cody UMBERGER,Bruan Timmerman. Владелец: Mestek Machinery Inc. Дата публикации: 2024-05-16.

Process and apparatus for scoring a brittle material

Номер патента: EP1771283A2. Автор: James W Brown,Harry E Menegus. Владелец: Corning Inc. Дата публикации: 2007-04-11.

Process and apparatus for scoring a brittle material

Номер патента: EP1771283A4. Автор: James W Brown,Harry E Menegus. Владелец: Corning Inc. Дата публикации: 2009-04-22.

Process and apparatus for scoring a brittle material

Номер патента: WO2006023133A2. Автор: James W Brown,Harry E Menegus. Владелец: CORNING INCORPORATED. Дата публикации: 2006-03-02.

System for laser surgical ophthalmology

Номер патента: RU2506938C2. Автор: Олаф КИТТЕЛЬМАНН,Клаус ФОГЛЕР. Владелец: Уэйвлайт Гмбх. Дата публикации: 2014-02-20.

Laser devices and methods for laser metal deposition

Номер патента: US20240139877A1. Автор: Lukas Hoppe. Владелец: Directedmetal 3d Sl. Дата публикации: 2024-05-02.

Laser devices and methods for laser metal deposition

Номер патента: WO2024089173A1. Автор: Lukas KENO HOPPE. Владелец: Directedmetal 3D S.L.. Дата публикации: 2024-05-02.

Process and system for determining acceptability of a fluid dispense

Номер патента: EP1292398A1. Автор: John E. Pillion,Jieh-Hwa Shyu,Robert F. McLoughlin. Владелец: Mykrolis Corp. Дата публикации: 2003-03-19.

Method and system for remote interaction between at least one operator and at least one user

Номер патента: EP3586501A1. Автор: Andrea CINELLI,Alberto GAROFFOLO,Antonio MINOSI. Владелец: Inventia SRL. Дата публикации: 2020-01-01.

Process and system for carrying out parallel packet processing

Номер патента: WO2001006725A2. Автор: Shlomo Cohen,Joseph Lifshitz,Ran Kahn. Владелец: Brightcom Technologies Ltd.. Дата публикации: 2001-01-25.

Process and system for carrying out parallel packet processing

Номер патента: WO2001006725A3. Автор: Shlomo Cohen,Joseph Lifshitz,Ran Kahn. Владелец: Coresma Ltd. Дата публикации: 2001-07-19.

Method and System for Remote Interaction Between at Least One Operator and at Least One User

Номер патента: US20200007689A1. Автор: Andrea CINELLI,Alberto GAROFFOLO,Antonio MINOSI. Владелец: Inventia SRL. Дата публикации: 2020-01-02.

Method and system for transmission management of full configuration synchronization between eml-nml

Номер патента: PH12015500675A1. Автор: LIU YUE,Zhang Liya. Владелец: Fiberhome Telecomm Tech Co Ltd. Дата публикации: 2015-05-18.

A system for processing data in a community, and methods thereof

Номер патента: WO2005093598A1. Автор: Zoo-Il Yang. Владелец: NHN CORPORATION. Дата публикации: 2005-10-06.

Detectable arrays, systems for diagnosis, and methods of making and using same

Номер патента: RU2673288C2. Автор: Обдулио ПИЛОТО,Иэн Шэнь-И ЧОНГ. Владелец: Энтопсис. Дата публикации: 2018-11-23.

Method and system for detecting reliability of lidar track

Номер патента: US20240183960A1. Автор: Hyun Ju Kim,Yoon Suk JANG. Владелец: Kia Corp. Дата публикации: 2024-06-06.

Process and decice for producing a dvd

Номер патента: MY127906A. Автор: Martin Eichlseder. Владелец: Krauss Maffei Kunststofftech. Дата публикации: 2006-12-29.

Information processing and display device

Номер патента: US20230409190A1. Автор: Naoya Baba. Владелец: Faurecia Clarion Electronics Co Ltd. Дата публикации: 2023-12-21.

Method of and system for recording image information and method of and system for encoding image information

Номер патента: US7269339B2. Автор: Hiroaki Seto. Владелец: Sony Corp. Дата публикации: 2007-09-11.

Smoothing-technology-based physical layer blind authentication method and system for time-varying fading channel

Номер патента: US20210136573A1. Автор: LI ZHANG,Ning Xie. Владелец: SHENZHEN UNIVERSITY. Дата публикации: 2021-05-06.

Off-grid start method and system for new energy power generation system

Номер патента: AU2021426006A1. Автор: Xing Li,Qun Zheng,Zhifei Zhang,Menglin CAO,Houlai GENG. Владелец: Sungrow Power Supply Co Ltd. Дата публикации: 2023-09-07.

Process and apparatus for manufacturing printed circuit boards

Номер патента: US20030179557A1. Автор: N. Berg. Владелец: Individual. Дата публикации: 2003-09-25.

Automated system for dip coating ybco films on substrates

Номер патента: WO2002071502A2. Автор: James Sharp,Christine L. Vrtis. Владелец: Isco International, Inc.. Дата публикации: 2002-09-12.

Method and system for detecting characteristics of lumber using end scanning

Номер патента: WO2006042411A1. Автор: Stuart G. Moore. Владелец: Moore Stuart G. Дата публикации: 2006-04-27.

Manufacturing method of semiconductor device

Номер патента: US11751482B2. Автор: Chin-Yang Hsieh,Hui-Lin WANG,Chen-Yi Weng,Jing-Yin Jhang. Владелец: United Microelectronics Corp. Дата публикации: 2023-09-05.

Method for forming a patterned semiconductor film

Номер патента: EP1208612A1. Автор: Jianna Wang,Paul S. Drzaic,Peter Kazlas,Karl Amundson,Gregg Duthaler. Владелец: E Ink Corp. Дата публикации: 2002-05-29.

Methods and systems for providing virtual surround sound on headphones

Номер патента: US20190037334A1. Автор: M. Ramachandra ACHARYA. Владелец: Global Delight Technologies PvtLtd. Дата публикации: 2019-01-31.

Methods and systems for providing virtual surround sound on headphones

Номер патента: EP3412038A1. Автор: M. Ramachandra ACHARYA. Владелец: Global Delight Technologies Pvt Ltd. Дата публикации: 2018-12-12.

Piston positioning processes of a reciprocating compressor

Номер патента: EP4251881A1. Автор: Filipe Guolo Nazario,Claudio Eduardo Soares. Владелец: Nidec Global Appliance Brasil Ltda. Дата публикации: 2023-10-04.

System for safely processing a fluid via monitoring and decreasing explosiveness

Номер патента: US20140097077A1. Автор: Zvi Elgat,Yaron Aviezer. Владелец: Elcon Recycling Center (2003) Ltd. Дата публикации: 2014-04-10.

Systems and processes of creating digital designs of dental appliances

Номер патента: US20220160479A1. Автор: Austin Peterson,Bryce Oakes. Владелец: Dean Ultrathin Retainer LLC. Дата публикации: 2022-05-26.

Systems, methods and devices for processing and handling plasterboard

Номер патента: US20240181644A1. Автор: Christopher Jan STAAL. Владелец: Ec8 Consulting Ltd. Дата публикации: 2024-06-06.

Internet method, process and system for publication and evaluation

Номер патента: EP2047391A2. Автор: Henry Markram. Владелец: Frontiers Media Sa. Дата публикации: 2009-04-15.

Internet method, process and system for publication and evaluation

Номер патента: WO2007138556A3. Автор: Henry Markram. Владелец: Frontiers Media Sa. Дата публикации: 2009-04-23.

Method and system for protocol generation

Номер патента: US12039556B2. Автор: Sylvan Lobo,Shivani Ganwani,Ravi Mahamuni. Владелец: Tata Consultancy Services Ltd. Дата публикации: 2024-07-16.

Engines, methods, and systems for normalizing heterogeneous parameters for scoring proposals

Номер патента: US20100030666A1. Автор: Fadi Victor Micaelian,Emil Scoffone. Владелец: Auguri Corp. Дата публикации: 2010-02-04.

Method and system for a roboust color matching and adjustment process of effect colors

Номер патента: AU2020324520A1. Автор: Guido Bischoff. Владелец: BASF COATINGS GMBH. Дата публикации: 2022-02-24.

Method and system for a roboust color matching and adjustment process of effect colors

Номер патента: EP4010670A1. Автор: Guido Bischoff. Владелец: BASF COATINGS GMBH. Дата публикации: 2022-06-15.

Method and system for a roboust color matching and adjustment process of effect colors

Номер патента: WO2021023683A1. Автор: Guido Bischoff. Владелец: BASF COATINGS GMBH. Дата публикации: 2021-02-11.

Method and system for a roboust color matching and adjustment process of effect colors

Номер патента: AU2020324520B2. Автор: Guido Bischoff. Владелец: BASF COATINGS GMBH. Дата публикации: 2023-07-13.

Control system for work machine, work machine, and control method for work machine

Номер патента: AU2019255005A1. Автор: Daisuke Tanaka,Tatsuya SHIGA. Владелец: KOMATSU LTD. Дата публикации: 2020-09-10.

Control system for work machine, work machine, and control method for work machine

Номер патента: AU2019255005B2. Автор: Daisuke Tanaka,Tatsuya SHIGA. Владелец: KOMATSU LTD. Дата публикации: 2022-03-31.

Method and system for detecting heavy metal ions

Номер патента: US20230131926A1. Автор: HAO CHENG,Chunbo Zhu,Wenjie Zhao,Xudong Wu,Xiaolu ZHU. Владелец: Kangdasi Nanjing Technology Co Ltd. Дата публикации: 2023-04-27.

Method and system for training generative adversarial networks with heterogeneous data

Номер патента: EP3970110A1. Автор: Karel Jan Willem MOENS. Владелец: BARCO NV. Дата публикации: 2022-03-23.

Method and system for training generative adversarial networks with heterogeneous data

Номер патента: WO2020233779A1. Автор: Karel Jan Willem MOENS. Владелец: Barco N.V.. Дата публикации: 2020-11-26.

Computer system for monitoring operation of pump in manufacturing process of semiconductor

Номер патента: CN103133331A. Автор: G·贡内拉,J·塞德罗恩,P·马贡. Владелец: Entegris Inc. Дата публикации: 2013-06-05.

Method for processing and displaying multi-channel spectral histograms and systems for same

Номер патента: EP4226126A4. Автор: David A. Roberts,Christopher J. Wolf. Владелец: Becton Dickinson and Co. Дата публикации: 2024-06-12.

System for tracking and responding to solar radiation exposure for improvement of athletic performance

Номер патента: US11013454B2. Автор: Andrew Poutiatine,Alison Park. Владелец: Sunborn Outdoors Llc. Дата публикации: 2021-05-25.

Methods, apparatuses and systems for surview scan

Номер патента: US11806179B2. Автор: Wei Li,Jun Yu,Shuangxue LI. Владелец: Neusoft Medical Systems Co Ltd. Дата публикации: 2023-11-07.

System for detecting and/or assessing a subdural hematoma

Номер патента: WO2023067599A8. Автор: Alon HARMELIN,Vyacheslav KALCHENKO. Владелец: Yeda Research and Development Co. Ltd.. Дата публикации: 2024-05-02.

Method and system for flexible deployment and easy cpld management of backplane

Номер патента: US20220206982A1. Автор: Chuanzhen TANG. Владелец: Suzhou Wave Intelligent Technology Co Ltd. Дата публикации: 2022-06-30.

Method and system for flexible deployment and easy CPLD management of backplane

Номер патента: US11461264B2. Автор: Chuanzhen TANG. Владелец: Suzhou Wave Intelligent Technology Co Ltd. Дата публикации: 2022-10-04.

Controller for cursor positioning on a display medium

Номер патента: US3911419A. Автор: Roger D Bates,Butler W Lampson. Владелец: Xerox Corp. Дата публикации: 1975-10-07.

Method and system for partial quantity evaluated rank bidding in online auctions

Номер патента: EP1183597A4. Автор: Sam E Kinney,Robert G Stevens,John P Levis Iii. Владелец: FreeMarkets Inc. Дата публикации: 2002-09-04.

Abnormal transient display system for nuclear reactor operation

Номер патента: CA1199738A. Автор: Robert C. Twilley, Jr.. Владелец: Babcock and Wilcox Co. Дата публикации: 1986-01-21.

Method and system for partial quantity evaluated rank bidding in online auctions

Номер патента: CA2365275C. Автор: Robert G. Stevens,John P. Levis, III,Sam E. Kinney, Jr. Владелец: FreeMarkets Inc. Дата публикации: 2005-05-03.

Method and system for partial quantity evaluated rank bidding in online auctions

Номер патента: CA2365275A1. Автор: Robert G. Stevens,John P. Levis, III,Sam E. Kinney, Jr. Владелец: Individual. Дата публикации: 2001-07-26.

Methods and systems for managing an incident

Номер патента: US20230368098A1. Автор: Vivek Ramachandran,Debasis Dash,Pradeep Kumar Shanmugavelu,Anushka Srivastava. Владелец: Honeywell International Inc. Дата публикации: 2023-11-16.

Method and system for creating and maintaining activity plans

Номер патента: US20150235182A1. Автор: Justin R. Labagh. Владелец: Individual. Дата публикации: 2015-08-20.

Method and system for authenticating users

Номер патента: US20200151317A1. Автор: Ayushi Gupta,Nibedan Kumar Bhawsinka,Nishtha Gupta,Sahithi Billa. Владелец: Mastercard International Inc. Дата публикации: 2020-05-14.

Method and system for authenticating users

Номер патента: US11449594B2. Автор: Ayushi Gupta,Nibedan Kumar Bhawsinka,Nishtha Gupta,Sahithi Billa. Владелец: Mastercard International Inc. Дата публикации: 2022-09-20.

Method of and system for customized image denoising with model interpretations

Номер патента: US11790492B1. Автор: Freddy Lecue,Ola AHMAD. Владелец: Thales SA. Дата публикации: 2023-10-17.

Methods and systems for managing an incident

Номер патента: EP4280133A1. Автор: Vivek Ramachandran,Debasis Dash,Pradeep Kumar Shanmugavelu,Anushka Srivastava. Владелец: Honeywell International Inc. Дата публикации: 2023-11-22.

Mechanical stop and start system for a function, and watch comprising such a system

Номер патента: US20230139184A1. Автор: Romain MOYSE,Kewin Bas. Владелец: CARTIER INTERNATIONAL AG. Дата публикации: 2023-05-04.

Method and system for testing client-server applications

Номер патента: US20120124074A1. Автор: Nityanand Sharma,Nitesh Malviva. Владелец: VERIZON PATENT AND LICENSING INC. Дата публикации: 2012-05-17.

Method and system for training generative adversarial networks with heterogeneous data

Номер патента: US11823348B2. Автор: Karel Jan Willem MOENS. Владелец: BARCO NV. Дата публикации: 2023-11-21.

METHOD AND SYSTEM FOR A ROBOUST COLOR MATCHING AND ADJUSTMENT PROCESS OF EFFECT COLORS

Номер патента: US20220283030A1. Автор: Bischoff Guido. Владелец: . Дата публикации: 2022-09-08.

Membrane anode system for electrolytic zinc-nickel alloy deposition

Номер патента: EP4219801A1. Автор: Thomas FREESE,Steven LEONHARD. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2023-08-02.

System for introducing a precursor gas to a vapor deposition system

Номер патента: WO2007117898A1. Автор: Kenji Suzuki,Atsushi Gomi. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2007-10-18.

Training system for training a body part of a user

Номер патента: WO2012128612A1. Автор: Jelte Tijmen Tempelaars. Владелец: Power Plate International Ltd.. Дата публикации: 2012-09-27.

Coated balloon with color and shimmering effects and process of making the same

Номер патента: US20240228717A9. Автор: Seda BRYANT. Владелец: Individual. Дата публикации: 2024-07-11.

Coated balloon with color and shimmering effects and process of making the same

Номер патента: US20240132685A1. Автор: Seda BRYANT. Владелец: Individual. Дата публикации: 2024-04-25.

Wearable device and system for chemically repelling sharks

Номер патента: US20170013824A1. Автор: William H. Jackson. Владелец: Individual. Дата публикации: 2017-01-19.

Process of preparing chlorine dioxide and apparatus therefor

Номер патента: WO1990001457A1. Автор: Joseph M. Kelley,George Mayurnik,Donald C. Kucher. Владелец: International Dioxcide, Inc.. Дата публикации: 1990-02-22.

System for mounting front-loaded photographs

Номер патента: US20020162263A1. Автор: Thomas Johnson,Scott Larsen. Владелец: Individual. Дата публикации: 2002-11-07.

Process of an oxide semiconductor film

Номер патента: JPS51142697A. Автор: Yasuhiro Shimizu,Koichi Shinohara. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1976-12-08.

Process of recovering oil from oil-containing minerals

Номер патента: CA1175769A. Автор: Horst Seidel,Norbert Magedanz,Hans J. Weiss. Владелец: Metallgesellschaft AG. Дата публикации: 1984-10-09.

Coated balloon with color and shimmering effects and process of making the same

Номер патента: WO2024086286A1. Автор: Seda BRYANT. Владелец: Bryant Seda. Дата публикации: 2024-04-25.

Brailing system for commercial fishing boats

Номер патента: US5020464A. Автор: Augusto R. Rodrigues. Владелец: Individual. Дата публикации: 1991-06-04.

System for positioning gauge wheels of an agricultural row unit

Номер патента: CA2930094C. Автор: Brian John Anderson,Johnathon R. Dienst. Владелец: CNH INDUSTRIAL AMERICA LLC. Дата публикации: 2020-10-13.

Linkage control system on a vehicle

Номер патента: US20170215328A1. Автор: Christian Gschwendtner,Guenther Ostenried,Werner Unsinn. Владелец: AGCO International GMBH. Дата публикации: 2017-08-03.

Valve system for engine

Номер патента: US20020056429A1. Автор: Minoru Matsuda,Makoto Sanada. Владелец: Honda Motor Co Ltd. Дата публикации: 2002-05-16.

Method and system for calculating emotional indicators based on pupil-wave

Номер патента: US20240065595A1. Автор: Wei Zhang,Bin Hu,Mi Li,Shengfu Lv,Jiaming KANG. Владелец: BEIJING UNIVERSITY OF TECHNOLOGY. Дата публикации: 2024-02-29.

System for the automatic control of the threshing process of a combine harvester

Номер патента: DE1917670A1. Автор: . Владелец: WIENEKE PROF DR ING FRANZ. Дата публикации: 1970-11-05.

PROCESS OF FIXING DEPOSIT OF INSOLUBLE HYDROPHYL POLYMER ON OR ON A POROUS SUPPORT AND PRODUCT OBTAINED

Номер патента: BR8606803A. Автор: Michael Pierre,Guy Godstein. Владелец: Beghin Say SA. Дата публикации: 1987-10-13.

System for processing used waters by the biological process of activated sludge

Номер патента: GB2035989A. Автор: . Владелец: Adwatre Inc. Дата публикации: 1980-06-25.

A system for distributing air in rooms for the processing of food products

Номер патента: EP0636846A1. Автор: Roberto C/O Travaglini Arnaldo S.R.L. Travaglini. Владелец: TRAVAGLINI ARNALDO Srl. Дата публикации: 1995-02-01.

Process and production system for large scale solid-state fermentation

Номер патента: EP4041859A2. Автор: Silas Granato VILLAS BOAS,Ninna Granucci. Владелец: Green Spot Technologies. Дата публикации: 2022-08-17.

Process and system for aligning printed images with perforated sheets

Номер патента: AU2008243889A1. Автор: James L. Baggot,Timothy A. Wooley,Justen H. Smith. Владелец: Kimberly Clark Corp. Дата публикации: 2008-11-06.

Process and system for aligning printed images with perforated sheets

Номер патента: EP2148781A2. Автор: James L. Baggot,Timothy A. Wooley,Justen H. Smith. Владелец: Kimberly Clark Corp. Дата публикации: 2010-02-03.

Process and system for aligning printed images with perforated sheets

Номер патента: WO2008132615A3. Автор: James L Baggot,Timothy A Wooley,Justen H Smith. Владелец: Justen H Smith. Дата публикации: 2008-12-24.

Process and system for aligning printed images with perforated sheets

Номер патента: WO2008132615A2. Автор: James L. Baggot,Timothy A. Wooley,Justen H. Smith. Владелец: KIMBERLY-CLARK WORLDWIDE, INC.. Дата публикации: 2008-11-06.

Plant for processing and recycling biological waste

Номер патента: RU2723861C1. Автор: Игорь Георгиевич Киселев. Владелец: Игорь Георгиевич Киселев. Дата публикации: 2020-06-17.

Process and production system for large-scale solid-state fermentation

Номер патента: CA3153994A1. Автор: Silas Granato VILLAS BOAS,Ninna Granucci. Владелец: Green Spot Technologies. Дата публикации: 2021-04-15.

Process and production system for large scale solid-state fermentation

Номер патента: AU2020364946A1. Автор: Silas Granato VILLAS BOAS,Ninna Granucci. Владелец: Green Spot Tech. Дата публикации: 2022-05-19.

Process and production system for large scale solid-state fermentation

Номер патента: US20240052282A1. Автор: Silas Granato VILLAS BOAS,Ninna Granucci. Владелец: Green Spot Technologies. Дата публикации: 2024-02-15.

Process and production system for large scale solid-state fermentation

Номер патента: WO2021069538A2. Автор: Silas Granato VILLAS BOAS,Ninna Granucci. Владелец: Green Spot Technologies. Дата публикации: 2021-04-15.

Fabrication method of semiconductor device

Номер патента: US7220521B2. Автор: Eiichi Kawamura. Владелец: Fujitsu Ltd. Дата публикации: 2007-05-22.

Method and apparatus to process and bond layers in an additive manufacturing system

Номер патента: US12059838B2. Автор: Morteza Vatani,Seyed Mohammad Sajadi. Владелец: Sakuu Corp. Дата публикации: 2024-08-13.

Abrasive powder, oil and water dispensing system for diamond faceting process

Номер патента: WO2023021521A1. Автор: Prakash RAKHOLIA. Владелец: Dharmaj Technologies. Дата публикации: 2023-02-23.

Process and mixture for applying a coating made of the precious stone lapis lazuli on a substrate, and substrate thus produced

Номер патента: AU4814593A. Автор: Peter Mack. Владелец: Individual. Дата публикации: 1994-04-26.

Preparation of light-emitting, highly reflective and/or metallic-looking images on a substrate surface

Номер патента: EP1274586A2. Автор: Asutosh Nigam. Владелец: Stanford Research Institute. Дата публикации: 2003-01-15.

A method and system for remote data processing and control

Номер патента: WO2015075736A3. Автор: Vikramjeet Songh Puri,Lalitha Mallikarjan Sankh,Reshma Suraj Maner. Владелец: Vikramjeet Songh Puri. Дата публикации: 2015-07-30.

Process and plant for the production of precooked and gelatinized flours for food products

Номер патента: US20090169708A1. Автор: Enrico Fava,Anzio Storci. Владелец: FAVA SpA. Дата публикации: 2009-07-02.

Method and System for Reducing the Visibility of a Plume Created at the Outlet of an Industrial Process

Номер патента: US20130167939A1. Автор: Oscar Lopez,Iraide Larrea. Владелец: BRUNNSCHWEILER SA. Дата публикации: 2013-07-04.

Vehicle system for processing of a product

Номер патента: CA3220493A1. Автор: Karel Van den Berg. Владелец: Lanvi Patent BV. Дата публикации: 2022-11-24.

Powder coating process and facility

Номер патента: EP4341006A1. Автор: Michael McCormick. Владелец: AKZO NOBEL COATINGS INTERNATIONAL BV. Дата публикации: 2024-03-27.

Powder Coating Process and Facility

Номер патента: US20240238838A1. Автор: Michael McCormick. Владелец: Akzo Nobel Coatings International BV I BV. Дата публикации: 2024-07-18.

Pressure moulding process and related pressure moulding device

Номер патента: US20240286322A1. Автор: Sergio Battista GASTALDI,Andrea Fumagalli,Matteo RADI. Владелец: Persico SpA. Дата публикации: 2024-08-29.

Vehicle system for processing of a product

Номер патента: WO2022243834A1. Автор: Karel Van den Berg. Владелец: Lanvi Patent B.V.. Дата публикации: 2022-11-24.

Process and low-output plant for the continuous production of slab-stock foam

Номер патента: WO1998023429A3. Автор: Carlo Fiorentini,Anthony Charles Murr Griffiths. Владелец: Foaming Techn Cardio Bv. Дата публикации: 1998-10-29.

Method for laser-induced growth of nano-units to form oriented, chiral, and complex structures

Номер патента: US20220126364A1. Автор: Xiaolin Lu,Tao Ding. Владелец: Wuhan University WHU. Дата публикации: 2022-04-28.

Vertical carton loading process and system for clips of a stacked sheet material

Номер патента: EP1960271A1. Автор: Leslie T. Long,Zachary J. Henderson,Jr. Daniel S. Grubb. Владелец: Kimberly Clark Corp. Дата публикации: 2008-08-27.

Vehicle system for processing of a product

Номер патента: US20240224865A1. Автор: Karel Van den Berg. Владелец: Lanvi Patent BV. Дата публикации: 2024-07-11.

Masking and fixturing of a glass-based article during a coating process and articles produced thereby

Номер патента: US20190270668A1. Автор: Jae-Chang Lee. Владелец: Corning Precision Materials. Дата публикации: 2019-09-05.

Process and system for manual multi-layer stacking of articles on a load carrier

Номер патента: US11753259B2. Автор: Audrey Thierry. Владелец: Dematic Sas. Дата публикации: 2023-09-12.

Device for processing of waste of polymeric materials

Номер патента: RU2167057C1. Автор: Е.В. Андреева,А.В. Зубкова. Владелец: Зубкова Анна Владимировна. Дата публикации: 2001-05-20.

Method and technological process of continuous synthesis of various ionic liquids

Номер патента: RU2668957C2. Автор: Райнер ПОММЕРСХАЙМ. Владелец: Тюлипорт С.А.Р.Л.. Дата публикации: 2018-10-05.

Foodstuffs imaging process and apparatus

Номер патента: WO2001029748A8. Автор: Leonid M Kofman,Christopher J Hill,Sigfried Stiber,Ilya L Miller,Yuriy D Chernov. Владелец: Chocolate Printing Company. Дата публикации: 2001-11-01.

Laser operating machine for laser sintering

Номер патента: US20240227014A1. Автор: Sante Calefati,Nicolai VALENTI. Владелец: Morphica Srl. Дата публикации: 2024-07-11.

Process and plant for manufacturing ceramic products

Номер патента: EP4403538A1. Автор: Flavia FIORINO. Владелец: Industrie Ceramiche Piemme SpA. Дата публикации: 2024-07-24.

Metallization process and product produced thereby

Номер патента: CA2558461A1. Автор: Robert Gallino,Joseph Funicelli. Владелец: Individual. Дата публикации: 2005-10-06.

Metallization process and product produced thereby

Номер патента: WO2005091949A2. Автор: Robert Gallino,Joseph Funicelli. Владелец: Unifoil Corporation. Дата публикации: 2005-10-06.

Leveling system for 3d printer

Номер патента: EP3823812A1. Автор: Ziv Gilan,Michael Zenou,Daniel Liptz. Владелец: IO Tech Group Ltd. Дата публикации: 2021-05-26.

Leveling system for 3d printer

Номер патента: US20200023582A1. Автор: Ziv Gilan,Michael Zenou,Daniel Liptz. Владелец: IO Tech Group Ltd. Дата публикации: 2020-01-23.

Developing process and apparatus

Номер патента: US20060280501A1. Автор: Hsun-Kai Chan,Ta-Yu Liu. Владелец: Chunghwa Picture Tubes Ltd. Дата публикации: 2006-12-14.

Process of manufacture of elastomer film, deposition form unit and elastomer film

Номер патента: RU2135357C1. Автор: Винтер Хьюго Де. Владелец: Ректисел. Дата публикации: 1999-08-27.

Method, plant and system for treatment of wastes

Номер патента: RU2727355C2. Автор: Йеран СУНДХОЛЬМ. Владелец: Марикап Ой. Дата публикации: 2020-07-21.

Coating system for substrate

Номер патента: US20170050209A1. Автор: Joshua Fox,Yiyang Liew. Владелец: Caterpillar Inc. Дата публикации: 2017-02-23.

A coupling system for an additive manufacturing process

Номер патента: GB2591075A. Автор: Healey Callum. Владелец: LPW Technology Ltd. Дата публикации: 2021-07-21.

Preparation Process of Spunlace Intertwining Type Recycled Cow Leather

Номер патента: US20240200263A1. Автор: Xiaohua Zhu. Владелец: JIANGYIN JUNHUA TEXTILE TECHNOLOGY Co Ltd. Дата публикации: 2024-06-20.

Fluoro-containing thermal chemical vapor deposition process and article

Номер патента: US20180163308A1. Автор: David A. Smith. Владелец: Silcotek Corp. Дата публикации: 2018-06-14.

Method and system for retrieving ornithological information

Номер патента: EP1324224A1. Автор: Daniel Swarovski. Владелец: Swarovski Optik AG and Co KG. Дата публикации: 2003-07-02.

Information system for golf carts and system for usage accounting and/or usage data acquisition

Номер патента: AU2565897A. Автор: Christian Albertshofer. Владелец: Individual. Дата публикации: 1997-09-02.

System for management of processed instruments

Номер патента: WO2005048041A3. Автор: Gabriel Neagoe,Dorin Cioraca,Arthur Zwingenberger,Robert Biermann,Robert Schroen. Владелец: Scican A Division Of Lux And Z. Дата публикации: 2005-06-30.

Systems for real-time laser power monitoring

Номер патента: US20240255346A1. Автор: Mikhail Ovchinnikov,Andrei Deev,Adela Apostol. Владелец: Alcon Inc. Дата публикации: 2024-08-01.

Method and system for controlling access to shared resources

Номер патента: US20240320061A1. Автор: Sangkyu Kim,Bumgyu Park,Jonglae Park,Eunok Jo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-26.

Alignment system for laser spectroscopy

Номер патента: US20140375989A1. Автор: Peter Schachinger. Владелец: Rosemount Analytical Inc. Дата публикации: 2014-12-25.

Alignment system for laser spectroscopy

Номер патента: EP3011309A1. Автор: Peter Schachinger. Владелец: Rosemount Analytical Inc. Дата публикации: 2016-04-27.

Method and system for processing music on a computer device

Номер патента: WO2007133971A3. Автор: Hao Zhou,Yeh Shen. Владелец: Concertizer Entpr Inc. Дата публикации: 2008-11-27.

Methods and systems for rate-limiting control of transaction processing

Номер патента: US11769151B2. Автор: Dennis Ho,Devon MEUNIER,Francis Pelland. Владелец: Shopify Inc. Дата публикации: 2023-09-26.

Holding member and holding member set, masking member and masking member set, and photoacoustic apparatus

Номер патента: US20180168457A1. Автор: Hisafumi Ebisawa. Владелец: Canon Inc. Дата публикации: 2018-06-21.

Process and products of Chinese kaolin

Номер патента: US7494078B2. Автор: Danny Williams,Randall P. Brown,Saad N. Nemeh,Francis Yin,Ernie Finch. Владелец: BASF Corp. Дата публикации: 2009-02-24.

System for processing and imaging of samples

Номер патента: EP1216408A1. Автор: Russell L. Kerschmann. Владелец: Resolution Sciences Corp. Дата публикации: 2002-06-26.

Recognition method and system for apple mouldy core based on hyperspectral imaging

Номер патента: ZA202302136B. Автор: WEI YANG,Jie TIAN,Qiuxia Hu. Владелец: Xi’An Aeronautical Inst. Дата публикации: 2023-09-27.

Method and system for proposal development and management

Номер патента: US20120203749A1. Автор: Bredt Donald MARTIN. Владелец: BLACK DOG TECHNOLOGY LLC. Дата публикации: 2012-08-09.

Dynamic processing memory core on a single memory chip

Номер патента: US12038865B2. Автор: Atif Zafar. Владелец: X Silicon Inc. Дата публикации: 2024-07-16.

Method and system for processing music on a computer device

Номер патента: WO2007133971A2. Автор: Hao Zhou,Yeh Shen. Владелец: Concertizer Enterprises, Inc.. Дата публикации: 2007-11-22.

Methods, system and apparatus for generating an image for laser marking

Номер патента: WO2022162631A1. Автор: Ricardo MIRA PAYA,Josep Maria CARBONELL MADERN. Владелец: JEANOLOGIA, S. L.. Дата публикации: 2022-08-04.

Method and apparatus for focused beam processing of recording media

Номер патента: US20050286391A1. Автор: Christopher Formato,Neil Deeman. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2005-12-29.

Process and system for mixed page imposition

Номер патента: EP1405257A2. Автор: Vivek Tandon,Puneet Goel. Владелец: Electronics for Imaging Inc. Дата публикации: 2004-04-07.

System and process for analysis of semiconductor samples

Номер патента: WO2002095431A1. Автор: Stefan P. Svensson,William A. Beck. Владелец: University of Maryland, College Park. Дата публикации: 2002-11-28.

Image processing and information extraction system and method for workflow processing of purchase request semantic models

Номер патента: US20190197593A1. Автор: Todd E. Lewis. Владелец: Individual. Дата публикации: 2019-06-27.

Memory management method and system for android system, and storage medium

Номер патента: EP4124951A1. Автор: Cang Zhang,Ju Wang,Zhihao Zhang,Xueni CHENG. Владелец: Beijing Xiaomi Mobile Software Co Ltd. Дата публикации: 2023-02-01.

Memory management method and system for android system, and storage medium

Номер патента: US20230037219A1. Автор: Cang Zhang,Ju Wang,Zhihao Zhang,Xueni Chen. Владелец: Beijing Xiaomi Mobile Software Co Ltd. Дата публикации: 2023-02-02.

Preoperative method and system for minimizing wound complications

Номер патента: US20240090874A1. Автор: John T. Lindsey,Chris Spring. Владелец: Individual. Дата публикации: 2024-03-21.

Methods, system and apparatus for generating an image for laser marking

Номер патента: EP4268181A1. Автор: Ricardo MIRA PAYA,Josep Maria CARBONELL MADERN. Владелец: Jeanologia SL. Дата публикации: 2023-11-01.

Process and system for controlling a flow of people

Номер патента: WO2022064534A1. Автор: Alessandro Campra,Manuel Ivanov. Владелец: Improve Public Mobility S.R.L.. Дата публикации: 2022-03-31.

Intelligent monitoring system for engine assembly quality

Номер патента: LU506082B1. Автор: Kai Yang,Ying Yang,Shuaihu Yang. Владелец: Univ Guangxi. Дата публикации: 2024-07-11.

Method and system for modification of an unlocked record of a database by interacting processes

Номер патента: US20090271446A1. Автор: Frédéric Depreter. Владелец: SIEMENS AG. Дата публикации: 2009-10-29.

Devices, methods, and systems for imaging, sensing, measuring and recording spectrum

Номер патента: WO2024124098A1. Автор: Yan Zhou,Richard Wyeth,William Shea. Владелец: Sensill, Inc.. Дата публикации: 2024-06-13.

Systems and methods of image processing and rendering thereof

Номер патента: CA3224568A1. Автор: Adam KAFKA. Владелец: Individual. Дата публикации: 2023-02-02.

Method and system for dynamic injection of execution logic into a windowed operating system

Номер патента: WO2000062160A3. Автор: Richard P Hammond. Владелец: Richard P Hammond. Дата публикации: 2001-01-04.

On-wafer burn-in of semiconductor devices using thermal rollover

Номер патента: US20040119486A1. Автор: Charlie WANG,Hong Hou,Wenlin Luo. Владелец: Individual. Дата публикации: 2004-06-24.

Data processing system for optimizing a live data-producing process and method for optimizing the live data-producing process

Номер патента: EP3866073A1. Автор: Sheir Yarkoni. Владелец: VOLKSWAGEN AG. Дата публикации: 2021-08-18.

Secure processing of electronic payments

Номер патента: US20230289793A1. Автор: Terry W. Lee,Edison U. Ortiz,Stephen James Scott,Gabriel Y. Woo,Weiqiang Yin,Judy Dinn,Chai Lam. Владелец: ROYAL BANK OF CANADA. Дата публикации: 2023-09-14.

Scalable processing of nanocomposites using photon-based methods

Номер патента: WO2014165840A1. Автор: James B. Spicer,Travis J. DeJournett. Владелец: THE JOHNS HOPKINS UNIVERSITY. Дата публикации: 2014-10-09.

System for liquid fuel supply and device for processing and supply of liquid fuel

Номер патента: RU2348829C2. Автор: Джилл Дилайсл. Владелец: Беттер Берн, Ллс. Дата публикации: 2009-03-10.

Processes and apparatuses for producing porous materials

Номер патента: US20080277380A1. Автор: John C. Christenson,Peter J. Schubert. Владелец: Packer Engineering Inc. Дата публикации: 2008-11-13.

Method and apparatus for laser ablation

Номер патента: EP2483439A2. Автор: Reijo Lappalainen,Vesa MYLLYMÄKI,Jukka HÄYRYNEN. Владелец: PICODEON LTD OY. Дата публикации: 2012-08-08.

Apparatus and method for handling and masking a substrate

Номер патента: WO2001079580A2. Автор: Ke Ling Lee,Ken Lee,Mikhail Mazur,Robert M. Martinson. Владелец: Steag Hamatech AG. Дата публикации: 2001-10-25.

A machine for use in processing of rubber cot arbours

Номер патента: WO2016132382A2. Автор: Naresh Mistry,Jitendra MEVADA. Владелец: Mistry Naresh. Дата публикации: 2016-08-25.

Cogeneration process and related apparatus

Номер патента: EP4359653A1. Автор: Fabio Pellegrini. Владелец: Kira Technology Srl. Дата публикации: 2024-05-01.

Method of producing single-crystal semiconductor films by laser treatment

Номер патента: US4308078A. Автор: Melvin S. Cook. Владелец: Individual. Дата публикации: 1981-12-29.

Chemical vapor deposition process and coating

Номер патента: EP4392593A1. Автор: David A. Smith,James B. Mattzela,Lucas D. PATTERSON,Nikolis Austin Snyder. Владелец: Silcotek Corp. Дата публикации: 2024-07-03.

System, method and kit for the collection and processing of amniotic fluid and placental aspirate

Номер патента: US20160256612A1. Автор: G. Charles JONES. Владелец: Bioexcell Inc. Дата публикации: 2016-09-08.

Process and apparatus for making composite films

Номер патента: US6264749B1. Автор: Mary Louise Mandich,Anthony Michael DeSantolo. Владелец: Agere Systems Guardian Corp. Дата публикации: 2001-07-24.

System and kit for the collection and processing of amniotic fluid and placental aspirate

Номер патента: US20170281837A1. Автор: G. Charles JONES. Владелец: Individual. Дата публикации: 2017-10-05.

Process and apparatus for performing analyses in the organic chemistry field

Номер патента: IL39176A. Автор: . Владелец: Snam Progetti. Дата публикации: 1976-06-30.

Brewing system and process of brewing a beverage

Номер патента: EP3307118A1. Автор: Anders Eriksson. Владелец: 3temp AB. Дата публикации: 2018-04-18.

Methods and systems for separating c4 crude streams

Номер патента: EP3140270A1. Автор: Zeeshan NAWAZ,Faisal BAKSH,Guillermo LEAL,Renaat HENNUS,Antonio MATARREDONA. Владелец: SABIC Global Technologies BV. Дата публикации: 2017-03-15.

Thermal processes and systems for generating electricity utilizing predetermined working fluids

Номер патента: CA3228397A1. Автор: Babak Bob Arefi. Владелец: Individual. Дата публикации: 2023-02-16.

Thermal processes and systems for generating electricity utilizing predetermined working fluids

Номер патента: WO2023018981A9. Автор: Babak Bob Arefi. Владелец: Arefi Babak Bob. Дата публикации: 2024-04-11.

Apparatus to process and dye fabrics in cords; with conjugated tilting according to the direction orientation of the fabric

Номер патента: EP1434906A1. Автор: Wilson Tambellini. Владелец: Individual. Дата публикации: 2004-07-07.

System for vaporizing materials onto substrate surface

Номер патента: WO2005107392A3. Автор: Ronald Steven Cok. Владелец: Ronald Steven Cok. Дата публикации: 2006-04-27.

Process and apparatus for growing mycelium sheets

Номер патента: US20240276929A1. Автор: Gijsbertus Martinus Rademakers. Владелец: Mme Houdstermaatschappij BV. Дата публикации: 2024-08-22.

Hydro-metallurgical processing of anode slime

Номер патента: RU2650663C1. Автор: Хенри Виртанен,Зёнке ШМАХТЕЛЬ. Владелец: ОУТОТЕК (ФИНЛЭНД) ОЙ. Дата публикации: 2018-04-16.

Method and system for controlling draw tension on thread in a sewing system

Номер патента: US20040178292A1. Автор: Ronald Kronenberger. Владелец: Individual. Дата публикации: 2004-09-16.

System for the processing and resupply of pulp as well as a method for the construction thereof

Номер патента: US20220389656A1. Автор: Christian Stockinger,Florian Willberger. Владелец: KIEFEL GMBH. Дата публикации: 2022-12-08.

Process of making wound wash gumamela (hibiscus rosa sinesis) flower

Номер патента: PH22019000225U1. Автор: Retchiel O Zabala. Владелец: Northern Negros State College Science & Tech. Дата публикации: 2019-11-22.

Process of making wound wash gumamela (hibiscus rosa sinesis) flower

Номер патента: PH22019000225Y1. Автор: Retchiel O Zabala. Владелец: Northern Negros State College Science & Tech. Дата публикации: 2019-11-22.

Systems for and methods of laser-enhanced plasma processing of semiconductor materials

Номер патента: US20130267096A1. Автор: Hawryluk Andrew M.,Zafiropoulo Arthur W.. Владелец: . Дата публикации: 2013-10-10.

Getter system for purifying the work atmosphere in the processes of physical vapor deposition

Номер патента: TW365631B. Автор: Andrea Conte,Francesco Mazza. Владелец: Getters Spa. Дата публикации: 1999-08-01.

System for processing used waters by the biological process of activated sludge

Номер патента: DE2936568T5. Автор: K Ott. Владелец: Individual. Дата публикации: 1981-03-12.

Diagnostic test system for revealing of virus of bird flu a/h5n1

Номер патента: RU2339694C2. Автор: Петр Григорьевич Дерябин,Ирина Викторовна Жарникова,Татьяна Владимировна Жарникова,Александр Владимирович Таран,Елена Ивановна Исаева,Андрей Геннадьевич Ботиков,Татьяна Николаевна Орлова,Виталий Иванович Ефременко,Анатолий Дмитриевич Антоненко,Наталья Витальевна Левченко,Дмитрий Константинович Львов,Надежда Филипповна Василенко,Ирина Викторовна Жарникова (RU),Виталий Иванович Ефременко (RU),Дмитрий Константинович Львов (RU),Надежда Филипповна Василенко (RU),бин Пётр Григорьевич Дер (RU),Наталь Витальевна Левченко (RU),Анатолий Дмитриевич Антоненко (RU),Тать на Николаевна Орлова (RU),Елена Ивановна Исаева (RU),Андрей Геннадьевич Ботиков (RU),Александр Владимирович Таран (RU),Тать на Владимировна Жарникова (RU). Владелец: Федеральное государственное учреждение здравоохранения Ставропольский научно-исследовательский противочумный институт Федеральной службы по надзору в сфере защиты прав потребителей и благополучия человека. Дата публикации: 2008-11-27.

Suspension systems for wheeled vehicles

Номер патента: CA1134883A. Автор: Irving Appelblatt. Владелец: Cadillac Gage Co. Дата публикации: 1982-11-02.

Control system for blind slats

Номер патента: AU2016200763B2. Автор: Patrick Lahbib,Cédric Roz. Владелец: Hydro Building Systems France SARL. Дата публикации: 2021-08-05.

Process of and Apparatus for Subjecting Materials to the Action of Air or other Gases.

Номер патента: GB190304537A. Автор: Charlie Edgar Mark. Владелец: Individual. Дата публикации: 1903-09-24.

Process of producing canned sardines (sardina pilchardus) in sweet chili sauce style

Номер патента: PH22019001070Y1. Автор: Proserpina T Dionaldo,Elisheba P Sabandal. Владелец: Univ Tech Cebu. Дата публикации: 2019-10-02.

Process of production of mazut from low-sulfur and/or sulfur, and/or high-sulfur crude oils

Номер патента: RU2154087C1. Автор: . Владелец: Сейфулов Рашид Ваисович. Дата публикации: 2000-08-10.

Method of and device to control digging process of earth-moving machine

Номер патента: RU2232234C2. Автор: Ю.М. Бузин,А.Ю. Бузин. Владелец: Бузин Андрей Юрьевич. Дата публикации: 2004-07-10.

Process of producing sweet rice cake

Номер патента: PH22014000466Y1. Автор: Eufemia Dampil. Владелец: Sultan Kudarat State University. Дата публикации: 2016-01-29.

Process of producing sweet rice cake

Номер патента: PH22014000466U1. Автор: Eufemia Dampil. Владелец: Sultan Kudarat State University. Дата публикации: 2016-01-29.

Improved Process and Machine for Shrinking Woven Fabrics.

Номер патента: GB190212603A. Автор: Carl Hermann Behnisch. Владелец: Individual. Дата публикации: 1902-10-02.