Micro-electrical discharged based metrology system

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Micro-electrical discharged based metrology system

Номер патента: KR101990157B1. Автор: 제리 므라즈,조나단 문트고머리. Владелец: 스말테크 인터내셔날, 엘엘씨. Дата публикации: 2019-06-17.

Micro-electrical discharged based metrology system

Номер патента: WO2012151308A3. Автор: Jerry Mraz,Jonathan Montgomery. Владелец: SMALTEC INTERNATIONAL, LLC. Дата публикации: 2013-03-14.

Micro-Electrical Discharged Based Metrology System

Номер патента: US20140130619A1. Автор: Mraz Jerry,Montgomery Jonathan. Владелец: SMALTEC INTERNATIONAL, LLC. Дата публикации: 2014-05-15.

Method for calibrating the geometry of a multi-axis metrology system

Номер патента: CN101975561B. Автор: 保罗·墨菲,乔恩·弗里格,格雷格·福布斯. Владелец: QED Technologies Inc. Дата публикации: 2012-05-30.

Method for calibrating the geometry of a multi-axis metrology system

Номер патента: US20050134851A1. Автор: Paul Murphy,Greg Forbes,Jon Fleig. Владелец: QED Technologies Inc. Дата публикации: 2005-06-23.

Measurement And Control Of Wafer Tilt For X-Ray Based Metrology

Номер патента: US20210262950A1. Автор: Yan Zhang,Huy Nguyen,Barry Blasenheim,Joseph A. Di Regolo,Robert Press. Владелец: KLA Corp. Дата публикации: 2021-08-26.

Measurement and control of wafer tilt for x-ray based metrology

Номер патента: WO2021167935A1. Автор: Yan Zhang,Huy Nguyen,Barry Blasenheim,Joseph A. Di Regolo,Robert Press. Владелец: KLA Corporation. Дата публикации: 2021-08-26.

Infrared-based metrology for detection of stress and defects around through silicon vias

Номер патента: US20150226681A1. Автор: Ming Lei. Владелец: Globalfoundries Inc. Дата публикации: 2015-08-13.

Infrared-based metrology for detection of stress and defects around through silicon vias

Номер патента: US9506874B2. Автор: Ming Lei. Владелец: Globalfoundries Inc. Дата публикации: 2016-11-29.

Metrology system and method for monitoring and correcting system generated errors

Номер патента: US20100302555A1. Автор: Hans-Artur Boesser,Slawomir Czerkas. Владелец: KLA Tencor MIE GmbH. Дата публикации: 2010-12-02.

Projective optical metrology system

Номер патента: US20150308815A2. Автор: Fulvio Bresciani,Fabio Musso. Владелец: Thales Alenia Space Italia SpA. Дата публикации: 2015-10-29.

Automatic Recipe Optimization for Overlay Metrology System

Номер патента: US20210025695A1. Автор: Weihua Li,Shiming Wei. Владелец: KLA Corp. Дата публикации: 2021-01-28.

Metrology System and Method for Measuring Diagonal Diffraction-Based Overlay Targets

Номер патента: US20210389125A1. Автор: Ohad Bachar,Nadav GUTMAN,Roie VOLKOVICH. Владелец: KLA Corp. Дата публикации: 2021-12-16.

Pupil stop for an illumination optical unit of a metrology system

Номер патента: US20220342317A1. Автор: Matthias Roesch. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2022-10-27.

Metrology system and method for measuring diagonal diffraction-based overlay targets

Номер патента: EP4150293A1. Автор: Ohad Bachar,Nadav GUTMAN,Roie VOLKOVICH. Владелец: KLA Corp. Дата публикации: 2023-03-22.

Optical metrology systems and methods

Номер патента: US20090174883A1. Автор: Emad Zawaideh,Javier Ruiz. Владелец: Individual. Дата публикации: 2009-07-09.

Wafer thickness, topography, and layer thickness metrology system

Номер патента: US11885609B2. Автор: Wojciech Jan Walecki. Владелец: Individual. Дата публикации: 2024-01-30.

Automatic recipe optimization for overlay metrology system

Номер патента: WO2021016144A1. Автор: Weihua Li,Shi-Ming Wei. Владелец: KLA Corporation. Дата публикации: 2021-01-28.

In-line metrology systems, apparatus, and methods for optical devices

Номер патента: US20220122241A1. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2022-04-21.

Metrology system for positioning assemblies

Номер патента: US10501209B2. Автор: Steven A. Best,James M. Cobb,Dan Dresskell DAY,Eric M. Reid,Clayton Lynn Munk,Matthew Ray Desjardien. Владелец: Boeing Co. Дата публикации: 2019-12-10.

PORTABLE MICRO-DEBURRING COMPONENT USING MICRO-ELECTRICAL DISCHARGE MACHINING PROCESS

Номер патента: US20150298231A1. Автор: Mraz Jerry,Montgomery Jonathan. Владелец: . Дата публикации: 2015-10-22.

Portable micro-deburring component using micro-electrical discharge machining process

Номер патента: US10556282B2. Автор: Jerry Mraz,Jonathan Montgomery. Владелец: SMALTEC INTERNATIONAL LLC. Дата публикации: 2020-02-11.

Metrology system for generating measurements of fuselage sections

Номер патента: US09927227B2. Автор: Jeffrey H. Hunt,David Arthur Whelan,Jonathan M. Saint Clair,Stephen A. Walls. Владелец: Boeing Co. Дата публикации: 2018-03-27.

Advanced cable metrology system

Номер патента: US20060116852A1. Автор: Patrick Schneider,Keith Foster,Donald Yuhas,James Voth. Владелец: 3M Innovative Properties Co. Дата публикации: 2006-06-01.

METROLOGY SYSTEM FOR GENERATING MEASUREMENTS OF FUSELAGE SECTIONS

Номер патента: US20170015440A1. Автор: Whelan David Arthur,Hunt Jeffrey H.,Walls Stephen A.,Saint Clair Jonathan M.. Владелец: . Дата публикации: 2017-01-19.

Tree metrology system

Номер патента: AU2013245476B2. Автор: John Lyle Vian,Joshua Przybylko. Владелец: Boeing Co. Дата публикации: 2015-06-18.

Metrology system for generating measurements of fuselage sections

Номер патента: US9453720B2. Автор: Jeffrey H. Hunt,David Arthur Whelan,Jonathan M. Saint Clair,Stephen A. Walls. Владелец: Boeing Co. Дата публикации: 2016-09-27.

Measurement system optimization for x-ray based metrology

Номер патента: WO2016115385A1. Автор: John J. Hench,Andrei V. Shchegrov,Michael S. Bakeman. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2016-07-21.

Calibration Of A Small Angle X-Ray Scatterometry Based Metrology System

Номер патента: US20180113084A1. Автор: John Hench,Nikolay ARTEMIEV,Antonio GELLINEAU,Joseph A. Di Regolo. Владелец: KLA Tencor Corp. Дата публикации: 2018-04-26.

Temperature-based metrology calibration at a manufacturing system

Номер патента: US20230317481A1. Автор: Shifang Li,Yudong Hao,Xinyuan Chong,Chengqing Wang. Владелец: Applied Materials Inc. Дата публикации: 2023-10-05.

Hybrid scanning electron microscopy and acousto-optic based metrology

Номер патента: US20230326713A1. Автор: Ido Almog,Ori Golani,Guy SHWARTZ,Itamar Shani. Владелец: Applied Materials Israel Ltd. Дата публикации: 2023-10-12.

A pre-discharging based flip-flop with a negative setup time

Номер патента: EP3836396A1. Автор: Abhishek Ghosh,Mitesh Goyal,Aroma Bhat,Arani Roy,Abdur Rakheeb. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-06-16.

Metrology system for measuring edge of circular workpiece

Номер патента: US20240219316A1. Автор: Christopher Richard Hamner,Vahan Senekerimyan. Владелец: Mitutoyo Corp. Дата публикации: 2024-07-04.

Metrology systems with phased arrays for contaminant detection and microscopy

Номер патента: US20240319617A1. Автор: Wei Guo,Mohamed Swillam,Stephen Roux. Владелец: Asml Holding Nv. Дата публикации: 2024-09-26.

Spectrometric metrology systems based on multimode interference and lithographic apparatus

Номер патента: US12135505B2. Автор: Justin Lloyd KREUZER,Mohamed Swillam,Stephen Roux. Владелец: Asml Holding Nv. Дата публикации: 2024-11-05.

Discharge-based photo ionisation detector for use with a gas chromatography system

Номер патента: EP3693733A1. Автор: Yves Gamache. Владелец: MÉCANIQUE ANALYTIQUE INC. Дата публикации: 2020-08-12.

Metrology system and method for measuring an excitation laser beam in an EUV plasma source

Номер патента: US11920977B2. Автор: Matthias Manger,Florian Baumer. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2024-03-05.

Radar metrology system

Номер патента: US20230314595A1. Автор: Ted Staton Cook,Nick HARTMANN. Владелец: Mitutoyo Corp. Дата публикации: 2023-10-05.

Metrology system, lithographic apparatus, and calibration method

Номер патента: US11740561B2. Автор: Nikhil Mehta,Piotr Jan Meyer. Владелец: ASML Netherlands BV. Дата публикации: 2023-08-29.

Radar metrology system including calibration

Номер патента: US20230314563A1. Автор: Nick HARTMANN. Владелец: Mitutoyo Corp. Дата публикации: 2023-10-05.

Lighting configuration for metrology system with images acquired at different focus positions

Номер патента: US20230421909A1. Автор: Christopher Richard Hamner. Владелец: Mitutoyo Corp. Дата публикации: 2023-12-28.

Integrated metrology system

Номер патента: WO2021152465A3. Автор: Alex Shichtman,Beni SHULMAN,Igor Shvartsman. Владелец: NOVA MEASURING INSTRUMENTS LTD.. Дата публикации: 2021-09-30.

Integrated metrology system

Номер патента: US20230061147A1. Автор: Alex Shichtman,Beni SHULMAN,Igor Shvartsman. Владелец: Nova Ltd. Дата публикации: 2023-03-02.

Integrated metrology system

Номер патента: WO2021152465A2. Автор: Alex Shichtman,Beni SHULMAN,Igor Shvartsman. Владелец: NOVA MEASURING INSTRUMENTS LTD.. Дата публикации: 2021-08-05.

Metrology system for examining objects with EUV measurement light

Номер патента: US11796926B2. Автор: Renzo Capelli,Klaus Gwosch. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-10-24.

Metrology system for examining objects with euv measurement light

Номер патента: US20230168593A1. Автор: Renzo Capelli,Klaus Gwosch. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-06-01.

Method of regenerating diffraction signals for optical metrology systems

Номер патента: US8570531B2. Автор: Shifang Li. Владелец: Tokyo Electron Ltd. Дата публикации: 2013-10-29.

See-through metrology systems, apparatus, and methods for optical devices

Номер патента: WO2022081365A1. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials, Inc.. Дата публикации: 2022-04-21.

In-line metrology systems, apparatus, and methods for optical devices

Номер патента: EP4229387A1. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2023-08-23.

See-through metrology systems, apparatus, and methods for optical devices

Номер патента: US11748875B2. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2023-09-05.

In-line metrology systems, apparatus, and methods for optical devices

Номер патента: EP4229388A1. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2023-08-23.

In-line metrology systems, apparatus, and methods for optical devices

Номер патента: WO2022081369A1. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials, Inc.. Дата публикации: 2022-04-21.

See-through metrology systems, apparatus, and methods for optical devices

Номер патента: EP4229385A1. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2023-08-23.

See-through metrology systems, apparatus, and methods for optical devices

Номер патента: US11978196B2. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2024-05-07.

Machine and method for machining a part by micro-electrical discharge machining

Номер патента: WO2010031716A1. Автор: Michel Cabrera. Владелец: Université Lyon 1 Claude Bernard. Дата публикации: 2010-03-25.

Track-based metrology method and apparatus

Номер патента: US8513625B2. Автор: Joseph Bach,Stephane Dana. Владелец: Applied Materials Inc. Дата публикации: 2013-08-20.

Underwater optical metrology system

Номер патента: AU2018300057B2. Автор: Carl W. Embry,Neil MANNING,Derek D. Pierce,Cory S. Moore,Julian Peter Rickards. Владелец: 3D at Depth Inc. Дата публикации: 2024-07-18.

Underwater optical metrology system

Номер патента: US11774586B2. Автор: Ian Roberts,Carl W. Embry,Neil MANNING. Владелец: 3D at Depth Inc. Дата публикации: 2023-10-03.

Calibration of semiconductor metrology systems

Номер патента: US20160101445A1. Автор: Jian Ding,James Kane,Fei Shen,Steven Peterson,Priya Mukundhan. Владелец: Rudolph Technologies Inc. Дата публикации: 2016-04-14.

Calibration of semiconductor metrology systems

Номер патента: US10173249B2. Автор: Jian Ding,James Kane,Fei Shen,Steven Peterson,Priya Mukundhan. Владелец: Rudolph Technologies Inc. Дата публикации: 2019-01-08.

Underwater optical metrology system

Номер патента: US20210382171A1. Автор: Ian Roberts,Carl W. Embry,Neil MANNING. Владелец: 3D at Depth Inc. Дата публикации: 2021-12-09.

Underwater optical metrology system

Номер патента: US20230393271A1. Автор: Ian Roberts,Carl W. Embry,Neil MANNING. Владелец: 3D at Depth Inc. Дата публикации: 2023-12-07.

UNDERWATER OPTICAL METROLOGY SYSTEM

Номер патента: US20200011992A1. Автор: Embry Carl W.,Manning Neil,Pierce Derek D.,Rickards Julian Peter,Moore Cory S.. Владелец: 3D at Depth, Inc.. Дата публикации: 2020-01-09.

CALIBRATION OF SEMICONDUCTOR METROLOGY SYSTEMS

Номер патента: US20160101445A1. Автор: Peterson Steven,Shen Fei,Kane James,DING Jian,Mukundhan Priya. Владелец: Rudolph Technologies, Inc.. Дата публикации: 2016-04-14.

UNDERWATER OPTICAL METROLOGY SYSTEM

Номер патента: US20200124722A1. Автор: Roberts Ian,Embry Carl W.,Manning Neil. Владелец: 3D at Depth, Inc.. Дата публикации: 2020-04-23.

Coarse and fine projective optical metrology system

Номер патента: EP2508428A8. Автор: Fulvio Bresciani,Fabio Musso. Владелец: Thales Alenia Space Italia SpA. Дата публикации: 2013-01-02.

Coarse and fine projective optical metrology system

Номер патента: US8724104B2. Автор: Fulvio Bresciani,Fabio Musso. Владелец: Thales Alenia Space Italia SpA. Дата публикации: 2014-05-13.

LATERAL AND LONGITUDINAL METROLOGY SYSTEM

Номер патента: FR2902894B1. Автор: Cyril Degrelle,Xavier Leyre,Bruno Napierala,Suzanne Abadie. Владелец: Alcatel SA. Дата публикации: 2010-06-04.

Lateral and longitudinal metrology system

Номер патента: US7561262B2. Автор: Cyril Degrelle,Xavier Leyre,Bruno Napierala,Suzanne Abadie. Владелец: Thales SA. Дата публикации: 2009-07-14.

Protective optical metrology system for determining attitude and position

Номер патента: US8625108B2. Автор: Fulvio Bresciani,Fabio Musso. Владелец: Thales Alenia Space Italia SpA. Дата публикации: 2014-01-07.

Lateral and longitudinal metrology system

Номер патента: EP1873556B1. Автор: Cyril Degrelle,Xavier Leyre,Bruno Napierala,Suzanne Abadie. Владелец: Thales SA. Дата публикации: 2014-04-23.

Infrared-based metrology for detection of stress and defects around through silicon vias

Номер патента: SG2013065602A. Автор: Ming Lei. Владелец: Globalfoundries Inc. Дата публикации: 2014-09-26.

System and method to reduce measurement error in interferometry-based metrology

Номер патента: US20240337478A1. Автор: Yuchi CHEN,Yicheng Lai. Владелец: KLA Corp. Дата публикации: 2024-10-10.

X-ray based metrology of a high aspect ratio hole

Номер патента: US20210156682A1. Автор: Benzion Sender. Владелец: Applied Materials Israel Ltd. Дата публикации: 2021-05-27.

OPTICAL ALIGNMENT APPARATUS AND METHODOLOGY FOR A VIDEO BASED METROLOGY TOOL

Номер патента: US20140300751A1. Автор: ORBAND DANIEL. Владелец: . Дата публикации: 2014-10-09.

INFRARED-BASED METROLOGY FOR DETECTION OF STRESS AND DEFECTS AROUND THROUGH SILICON VIAS

Номер патента: US20150226681A1. Автор: LEI Ming. Владелец: . Дата публикации: 2015-08-13.

Measurement And Control Of Wafer Tilt For X-Ray Based Metrology

Номер патента: US20210262950A1. Автор: Nguyen Huy,Zhang Yan,Di Regolo Joseph A.,Blasenheim Barry,Press Robert. Владелец: . Дата публикации: 2021-08-26.

Method for quantification of process non uniformity using model-based metrology

Номер патента: US10955359B2. Автор: Robin Hsin-Kuo Chao,Yunlin Zhang. Владелец: International Business Machines Corp. Дата публикации: 2021-03-23.

Optical alignment apparatus and methodology for a video based metrology tool

Номер патента: US9239237B2. Автор: Daniel Orband. Владелец: Optikos Corp. Дата публикации: 2016-01-19.

Wafer tilt measurement and control for X-ray based metrology

Номер патента: CN115151787A. Автор: 张岩,H·阮,R·普雷斯,J·A·迪雷戈洛,B·布拉森海姆. Владелец: KLA Tencor Corp. Дата публикации: 2022-10-04.

X-ray based metrology of a high aspect ratio hole

Номер патента: US20210156682A1. Автор: Benzion Sender. Владелец: Applied Materials Israel Ltd. Дата публикации: 2021-05-27.

Optical metrology system and method

Номер патента: US20240264538A1. Автор: Gilad Barak,Amir Shayari. Владелец: Nova Ltd. Дата публикации: 2024-08-08.

Optical metrology system for spectral imaging of a sample

Номер патента: EP3074755A1. Автор: Andrzej Buczkowski. Владелец: Nanometrics Inc. Дата публикации: 2016-10-05.

Optical element for use in metrology systems

Номер патента: US20240302164A1. Автор: Yevgeniy Konstantinovich Shmarev,Richard Carl Zimmerman,Adel Joobeur,Tzu-Yi Yang. Владелец: ASML Netherlands BV. Дата публикации: 2024-09-12.

Surface metrology systems and methods thereof

Номер патента: US12123701B2. Автор: James Fredric Munro. Владелец: Optipro Systems LLC. Дата публикации: 2024-10-22.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: EP3762779A1. Автор: HONG Xiao. Владелец: KLA Tencor Corp. Дата публикации: 2021-01-13.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: IL276810A. Автор: . Владелец: KLA Tencor Corp. Дата публикации: 2020-10-29.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: SG11202008092QA. Автор: HONG Xiao. Владелец: KLA Tencor Corp. Дата публикации: 2020-09-29.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: EP3762779A4. Автор: HONG Xiao. Владелец: KLA Tencor Corp. Дата публикации: 2021-12-01.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: WO2019173252A1. Автор: HONG Xiao. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2019-09-12.

Projective optical metrology system

Номер патента: EP2677338B1. Автор: Fulvio Bresciani,Fabio Musso. Владелец: Thales Alenia Space Italia SpA. Дата публикации: 2017-08-02.

Methods utilizing triangulation in metrology systems for in-situ surgical applications

Номер патента: US20140313523A1. Автор: Alexey Sharonov,Candido Dionisio Pinto. Владелец: COVIDIEN LP. Дата публикации: 2014-10-23.

An overlay metrology system and method

Номер патента: IL277821B2. Автор: . Владелец: KLA Tencor Corp. Дата публикации: 2023-07-01.

Metrology system

Номер патента: EP3759428B1. Автор: Mark Baker,Chris Barns,Clint Vandergiessen,Robert Batten,Shawn Boling,Jared Greco,Derek Aqui,Garrett Headrick. Владелец: DWFritz Automation LLC. Дата публикации: 2024-08-14.

Optical metrology system and method

Номер патента: IL295619B1. Автор: . Владелец: Nova Ltd. Дата публикации: 2024-08-01.

Methods utilizing triangulation in metrology systems for in-situ surgical applications

Номер патента: US20120293812A1. Автор: Alexey Sharonov,Candido Dionisio Pinto. Владелец: TYCO HEALTHCARE GROUP LP. Дата публикации: 2012-11-22.

Optical metrology system and method

Номер патента: IL314364A. Автор: . Владелец: Nova Ltd. Дата публикации: 2024-09-01.

An optical metrology system and methods for the measurement of optical surfaces

Номер патента: GB202302951D0. Автор: . Владелец: Mbryonics Ltd. Дата публикации: 2023-04-12.

Robotic-mounted monument system for metrology systems

Номер патента: CA2852519C. Автор: James M. Cobb,Peter Frederick Trautman,Paul Fredric Sjoholm. Владелец: Boeing Co. Дата публикации: 2018-09-11.

Transmission small-angle x-ray scattering metrology system

Номер патента: EP3593124A1. Автор: Andrei Shchegrov,Sergey Zalubovsky,Antonio GELLINEAU. Владелец: KLA Tencor Corp. Дата публикации: 2020-01-15.

Transmission Small-Angle X-Ray Scattering Metrology System

Номер патента: US20210088325A1. Автор: Andrei V. Shchegrov,Antonio Arion Gellineau,Sergey Zalubovsky. Владелец: KLA Corp. Дата публикации: 2021-03-25.

Transmission small-angle x-ray scattering metrology system

Номер патента: WO2018191714A1. Автор: Andrei Shchegrov,Sergey Zalubovsky,Antonio GELLINEAU. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2018-10-18.

Optical metrology system and method

Номер патента: US11868054B2. Автор: Gilad Barak,Amir Shayari. Владелец: Nova Ltd. Дата публикации: 2024-01-09.

Transmission Small-Angle X-Ray Scattering Metrology System

Номер патента: US20180299259A1. Автор: Andrei V. Shchegrov,Antonio Arion Gellineau,Sergey Zalubovsky. Владелец: KLA Tencor Corp. Дата публикации: 2018-10-18.

MEASUREMENT ASSEMBLY INCLUDING A METROLOGY SYSTEM AND A POINTER THAT DIRECTS THE METROLOGY SYSTEM

Номер патента: US20130335749A1. Автор: NOVAK W. Thomas. Владелец: . Дата публикации: 2013-12-19.

Robotic-mounted monument system for metrology systems

Номер патента: EP2821877A2. Автор: James M. Cobb,Peter Frederick Trautman,Paul Fredric Sjoholm. Владелец: Boeing Co. Дата публикации: 2015-01-07.

Robotic-Mounted Monument System for Metrology Systems

Номер патента: CN104281118A. Автор: J·M·科布,P·F·特劳特曼,P·F·索约霍姆. Владелец: Boeing Co. Дата публикации: 2015-01-14.

Robotic-mounted monument system for metrology systems

Номер патента: CA2852519A1. Автор: James M. Cobb,Peter Frederick Trautman,Paul Fredric Sjoholm. Владелец: Boeing Co. Дата публикации: 2015-01-02.

Multipole illumination system and overlay metrology system

Номер патента: CN112840202B. Автор: A·V·希尔,D·戈列里克. Владелец: KLA Tencor Corp. Дата публикации: 2022-10-14.

Metrology systems, measurement of wear systems and methods thereof

Номер патента: WO2022214392A1. Автор: Venkata Siva Chaithanya CHILLARA,Kellen SANNA. Владелец: ASML Holding N.V.. Дата публикации: 2022-10-13.

Metrology system for substrate deformation measurement

Номер патента: US20190074201A1. Автор: Todd Egan,Mehdi Vaez-Iravani,Samer Banna,Kyle TANTIWONG. Владелец: Applied Materials Inc. Дата публикации: 2019-03-07.

All surface film metrology system

Номер патента: WO2017172627A9. Автор: Lena Nicolaides,Shifang Li,Paul Horn,Richard Graetz. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2018-11-22.

All surface film metrology system

Номер патента: US20170278236A1. Автор: Lena Nicolaides,Shifang Li,Paul Horn,Richard Graetz. Владелец: KLA Tencor Corp. Дата публикации: 2017-09-28.

All surface film metrology system

Номер патента: WO2017172627A1. Автор: Lena Nicolaides,Shifang Li,Paul Horn,Richard Graetz. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2017-10-05.

Metrology systems, measurement of wear systems and methods thereof

Номер патента: US20240167809A1. Автор: Venkata Siva Chaithanya CHILLARA,Kellen SANNA. Владелец: Asml Holding Nv. Дата публикации: 2024-05-23.

Metrology system for precision 3d motion

Номер патента: WO2003102495A3. Автор: Christopher James Evans,Michael Kuechel,Doren Matthew Van. Владелец: Zygo Corp. Дата публикации: 2004-05-06.

In-line metrology system

Номер патента: US20120021539A1. Автор: Arnold Allenic,Oleh Karpenko,Chong Lim,Sreenivas Jayaraman,II Stephan Paul George. Владелец: Individual. Дата публикации: 2012-01-26.

Position-sensitive metrology system

Номер патента: US20120045855A1. Автор: Markus E. Beck,Erel Milshtein. Владелец: Individual. Дата публикации: 2012-02-23.

TARGET FOR LARGE SCALE METROLOGY SYSTEM

Номер патента: US20130141735A1. Автор: NOVAK W. Thomas,Cooper Alexander,Sogard Michael. Владелец: . Дата публикации: 2013-06-06.

APERTURE ALIGNMENT IN SCATTEROMETRY METROLOGY SYSTEMS

Номер патента: US20150015883A1. Автор: BRINGOLTZ BARAK,Carmel Nadav. Владелец: . Дата публикации: 2015-01-15.

Automated Metrology System Selection

Номер патента: US20170023491A1. Автор: Qiang Zhao,Lie-Quan Lee,Meng Cao,Heyin Li,Mengmeng Ye. Владелец: KLA Tencor Corp. Дата публикации: 2017-01-26.

Automatic Recipe Optimization for Overlay Metrology System

Номер патента: US20210025695A1. Автор: Li Weihua,Wei Shiming. Владелец: . Дата публикации: 2021-01-28.

SURFACE METROLOGY SYSTEMS AND METHODS THEREOF

Номер патента: US20220049951A1. Автор: Munro James Fredric. Владелец: . Дата публикации: 2022-02-17.

METROLOGY SYSTEMS FOR SUBSTRATE STRESS AND DEFORMATION MEASUREMENT

Номер патента: US20190057910A1. Автор: BANNA SAMER,Egan Todd,TANTIWONG KYLE,VAEZ-IRAVANI Mehdi. Владелец: . Дата публикации: 2019-02-21.

Metrology System for Generating Measurements of Fuselage Sections

Номер патента: US20160076879A1. Автор: Whelan David Arthur,Hunt Jeffrey H.,Walls Stephen A.,Saint Clair Jonathan M.. Владелец: . Дата публикации: 2016-03-17.

Transmission Small-Angle X-Ray Scattering Metrology System

Номер патента: US20210088325A1. Автор: Shchegrov Andrei V.,Zalubovsky Sergey,Gellineau Antonio Arion. Владелец: . Дата публикации: 2021-03-25.

METROLOGY SYSTEM WITH PROJECTED PATTERN FOR POINTS-FROM-FOCUS TYPE PROCESSES

Номер патента: US20220138976A1. Автор: REDLARSKI Lukasz,Campbell Shannon Roy. Владелец: . Дата публикации: 2022-05-05.

METROLOGY SYSTEM CALIBRATION REFINEMENT

Номер патента: US20180100796A1. Автор: Poslavsky Leonid,Krishnan Shankar,Kwak Hidong,Lesoine John,Sadiq Malik,Wei Lanhua,Sushchik Mikhail M.. Владелец: . Дата публикации: 2018-04-12.

Metrology Systems And Methods For Process Control

Номер патента: US20180108578A1. Автор: Shchegrov Andrei V.,Sanko Dzmitry,Pandev Stilian Ivanov. Владелец: . Дата публикации: 2018-04-19.

METROLOGY SYSTEM AND MEASUREMENT METHOD USING THE SAME

Номер патента: US20170131084A1. Автор: Lin Yi-Hung,HUNG Ying-Chieh,CHOU Yu-Wei. Владелец: . Дата публикации: 2017-05-11.

Laser Metrology System and Method

Номер патента: US20150198433A1. Автор: Soreide David C.,Voth Mitchell D.,Sherman William D.,Saint Clair Jonathan M.. Владелец: The Boeing Company. Дата публикации: 2015-07-16.

METROLOGY SYSTEM

Номер патента: US20200209021A1. Автор: Baker Mark,Boling Shawn,Batten Robert,Aqui Derek,Greco Jared,Headrick Garrett,Vandergiessen Clint,Barns Chris. Владелец: DWFritz Automation, Inc.. Дата публикации: 2020-07-02.

METROLOGY SYSTEM AND MEASUREMENT METHOD USING THE SAME

Номер патента: US20170221739A1. Автор: Lin Yi-Hung,Yu Ming-Hua,HUNG Ying-Chieh,CHANG Jet-Rung. Владелец: . Дата публикации: 2017-08-03.

METHODS UTILIZING TRIANGULATION IN METROLOGY SYSTEMS FOR IN-SITU SURGICAL APPLICATIONS

Номер патента: US20140313523A1. Автор: Sharonov Alexey,Pinto Candido Dionisio. Владелец: . Дата публикации: 2014-10-23.

METROLOGY SYSTEM

Номер патента: US20190265012A1. Автор: Baker Mark,Barnes Chris,Boling Shawn,Batten Robert,Aqui Derek,Greco Jared,Headrick Garrett,Vanderglessen Clint. Владелец: DWFritz Automation, Inc.. Дата публикации: 2019-08-29.

All surface film metrology system

Номер патента: US20170278236A1. Автор: Lena Nicolaides,Shifang Li,Paul Horn,Richard Graetz. Владелец: KLA Tencor Corp. Дата публикации: 2017-09-28.

Optical metrology system for spectral imaging of a sample

Номер патента: US20160290927A1. Автор: Andrzej Buczkowski,Mikhail Sluch. Владелец: Nanometrics Inc. Дата публикации: 2016-10-06.

SCAN STRATEGIES TO MINIMIZE CHARGING EFFECTS AND RADIATION DAMAGE OF CHARGED PARTICLE BEAM METROLOGY SYSTEM

Номер патента: US20190279841A1. Автор: Xiao Hong. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2019-09-12.

Overlay Metrology System and Method

Номер патента: US20190285407A1. Автор: Chuang Yung-Ho Alex,Fielden John,Liu Xuefeng,Jiang Peilin,Xiao-Li Yinying. Владелец: . Дата публикации: 2019-09-19.

PROJECTIVE OPTICAL METROLOGY SYSTEM

Номер патента: US20150308815A2. Автор: Bresciani Fulvio,Musso Fabio. Владелец: Thales Alenia Space Italia S.p.A. Con Unico Socio. Дата публикации: 2015-10-29.

Transmission Small-Angle X-Ray Scattering Metrology System

Номер патента: US20180299259A1. Автор: Andrei V. Shchegrov,Antonio Arion Gellineau,Sergey Zalubovsky. Владелец: KLA Tencor Corp. Дата публикации: 2018-10-18.

METROLOGY SYSTEM FOR POSITIONING ASSEMBLIES

Номер патента: US20180312277A1. Автор: Cobb James M.,Best Steven A.,Munk Clayton Lynn,Reid Eric M.,DesJardien Matthew Ray,Day Dan Dresskell. Владелец: . Дата публикации: 2018-11-01.

LOW DOSE CHARGED PARTICLE METROLOGY SYSTEM

Номер патента: US20200333714A1. Автор: WANG FEI,Fang Wei,Liu Kuo-Shih. Владелец: . Дата публикации: 2020-10-22.

METHOD FOR CALIBRATING A THREE-DIMENSIONAL METROLOGY SYSTEM.

Номер патента: FR2670283B1. Автор: Guy Bourdarios,Philippe Rioufreyt. Владелец: Commissariat a lEnergie Atomique CEA. Дата публикации: 1994-10-14.

OPTICAL METROLOGY SYSTEM

Номер патента: FR2913492A1. Автор: Patrick Juncar,Darine Haddad,Gerard Geneves. Владелец: LABORATOIRE NAT DE METROLOGIE ET D ESSAIS. Дата публикации: 2008-09-12.

Generic interface for an optical metrology system

Номер патента: US7271902B2. Автор: Shifang Li,Nickhil Jakatdar,Xinhui Niu,Junwei Bao. Владелец: TEL Timbre Technologies Inc. Дата публикации: 2007-09-18.

An overlay metrology system and method

Номер патента: TW202004934A. Автор: 江佩琳,勇和 艾力克斯 莊,寅瑩 肖,約翰 費爾登,劉學峰. Владелец: 美商克萊譚克公司. Дата публикации: 2020-01-16.

laser confocal sensor metrology system

Номер патента: BR112013013440A2. Автор: Wildsmith Christopher,B Enns John,F Widman Michael,P Powell Mark,W Sites Peter. Владелец: Johnson & Johnson Vision Care. Дата публикации: 2019-12-03.

Device and method for optical image correction in metrology systems

Номер патента: CA2805410A1. Автор: Alexey Sharonov. Владелец: COVIDIEN LP. Дата публикации: 2013-08-27.

Optical metrology system

Номер патента: CA2680015A1. Автор: Patrick Juncar,Darine Haddad,Gerard Geneves. Владелец: Conservatoire National Des Arts Et Metiers. Дата публикации: 2008-09-18.

Laser confocal sensor metrology system

Номер патента: CA2819348C. Автор: Michael F. Widman,John B. Enns,P. Mark Powell,Peter W. Sites,Christopher Wildsmith. Владелец: Johnson and Johnson Vision Care Inc. Дата публикации: 2018-12-11.

Metrology system

Номер патента: WO2021069079A1. Автор: Raimund Loser,Thomas Luthi,Markus Steiner,Duncan REDGEWELL,Veroljub Maksimovic. Владелец: LEICA GEOSYSTEMS AG. Дата публикации: 2021-04-15.

Metrology system configured to measure apertures of workpieces

Номер патента: US20230168209A1. Автор: Paul Gerard Gladnick,Joseph Daniel Tobiason. Владелец: Mitutoyo Corp. Дата публикации: 2023-06-01.

Compensation for effects of beam misalignments in interferometer metrology systems

Номер патента: US20060061771A1. Автор: Henry Hill. Владелец: Zygo Corp. Дата публикации: 2006-03-23.

Method for programming three-dimensional workpiece scan path for metrology system

Номер патента: CN105509641A. Автор: R.K.布赖尔. Владелец: Mitutoyo Corp. Дата публикации: 2016-04-20.

Metrology system with spectroscopic ellipsometer and photoacoustic measurements

Номер патента: US7705974B2. Автор: Robert Gregory Wolf,Robin Mair,Christopher Morath. Владелец: Rudolph Technologies Inc. Дата публикации: 2010-04-27.

Point size light illumination in metrology systems for in-situ surgical applications

Номер патента: AU2012244064A1. Автор: Alexey Sharonov. Владелец: COVIDIEN LP. Дата публикации: 2013-05-16.

Shaft cone metrology system and method

Номер патента: KR101204485B1. Автор: 아난다 브이. 미소레,스티브 지. 곤잘레즈. Владелец: 시게이트 테크놀로지 엘엘씨. Дата публикации: 2012-11-27.

Metrology system with spectroscopic ellipsometer and photoacoustic measurements

Номер патента: US20060126057A1. Автор: Robert Wolf,Robin Mair,Christopher Morath. Владелец: Rudolph Technologies Inc. Дата публикации: 2006-06-15.

Stereoscopic three-dimensional metrology system and method

Номер патента: AU2002357335A8. Автор: Donald B Snow,John T Strom,Raymond H Kraft. Владелец: Applied Precision Inc. Дата публикации: 2003-07-24.

Laser confocal sensor metrology system

Номер патента: TW201233975A. Автор: Christopher Wildsmith,Michael F Widman,John B Enns,Peter W Sites,P Mark Powell. Владелец: Johnson & Johnson Vision Care. Дата публикации: 2012-08-16.

Laser confocal sensor metrology system

Номер патента: WO2012075013A8. Автор: Michael F. Widman,John B. Enns,Peter W. Sites,Christopher Wildsmith,Mark P. Powell. Владелец: JOHNSON & JOHNSON VISION CARE, INC.. Дата публикации: 2013-06-20.

Laser confocal sensor metrology system

Номер патента: AU2011336781A1. Автор: Michael F. Widman,John B. Enns,Peter W. Sites,Christopher Wildsmith,Mark P. Powell. Владелец: Johnson and Johnson Vision Care Inc. Дата публикации: 2013-07-11.

Optical metrology system for spectral imaging of a sample

Номер патента: TW201627652A. Автор: 安德茲 巴克考斯基. Владелец: 耐諾股份有限公司. Дата публикации: 2016-08-01.

Metrology system and method for thin film stacks

Номер патента: CN112945111A. Автор: 张伟,李锋锐,邹远祥. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-06-11.

Sensor with electrically controllable aperture for inspection and metrology systems

Номер патента: IL255230A0. Автор: . Владелец: KLA Tencor Corp. Дата публикации: 2017-12-31.

Integrated thin film metrology system used in a solar cell production line

Номер патента: WO2011017509A4. Автор: Edward W. Budiarto,James Matthew Holden,Karen Lingel. Владелец: Applied Materials, Inc.. Дата публикации: 2011-08-11.

Shaft cone metrology system and method

Номер патента: CN1991298A. Автор: A·V·梅索尔,S·G·冈萨雷斯. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2007-07-04.

Device and method for optical image correction in metrology systems

Номер патента: US9561022B2. Автор: Alexey Sharonov. Владелец: COVIDIEN LP. Дата публикации: 2017-02-07.

An overlay metrology system and method

Номер патента: WO2019199340A1. Автор: Xuefeng Liu,Yung-Ho Alex Chuang,Peilin Jiang,John Fielden,Yinying XIAOLI. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2019-10-17.

Device and method for optical image correction in metrology systems

Номер патента: CN103284725A. Автор: 阿列克谢·沙罗诺夫. Владелец: COVIDIEN LP. Дата публикации: 2013-09-11.

Methods utilizing triangulation in metrology systems for in-situ surgical applications

Номер патента: CA2776197A1. Автор: Alexey Sharonov,Candido Dionisio Pinto. Владелец: TYCO HEALTHCARE GROUP LP. Дата публикации: 2012-11-19.

Projective optical metrology system

Номер патента: US9188427B2. Автор: Fulvio Bresciani,Fabio Musso. Владелец: Thales Alenia Space Italia SpA. Дата публикации: 2015-11-17.

Optical metrology system and method

Номер патента: TWI782924B. Автор: 維拉得摩 朗維司基,尤瑞 帕斯卡維爾,阿農 馬那森. Владелец: 美商克萊譚克公司. Дата публикации: 2022-11-11.

Metrology system

Номер патента: CA3092360A1. Автор: Mark Baker,Chris Barns,Clint Vandergiessen,Robert Batten,Shawn Boling,Jared Greco,Derek Aqui,Garrett Headrick. Владелец: Dwfritz Automation Inc. Дата публикации: 2019-09-06.

LASER CONFOCAL SENSOR METROLOGY SYSTEM

Номер патента: AR084042A1. Автор: . Владелец: Johnson & Johnson Vision Care. Дата публикации: 2013-04-17.

Transmission small-angle x-ray scattering metrology system

Номер патента: EP3593124A4. Автор: Andrei Shchegrov,Sergey Zalubovsky,Antonio GELLINEAU. Владелец: KLA Tencor Corp. Дата публикации: 2021-07-07.

Transmission small-angle x-ray scattering metrology system

Номер патента: EP3593124B1. Автор: Andrei Shchegrov,Sergey Zalubovsky,Antonio GELLINEAU. Владелец: KLA Tencor Corp. Дата публикации: 2024-01-10.

All surface film metrology system

Номер патента: IL261451A. Автор: . Владелец: KLA Tencor Corp. Дата публикации: 2018-12-31.

Metrology system and method for determining a characteristic of one or more structures on a substrate

Номер патента: IL273680B1. Автор: . Владелец: ASML Netherlands BV. Дата публикации: 2023-12-01.

Metrology system and measurement method using the same

Номер патента: US20170221739A1. Автор: Yi-Hung Lin,Ming-Hua Yu,Jet-Rung Chang,Ying-Chieh Hung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-03.

Metrology system and method for determining a characteristic of one or more structures on a substrate

Номер патента: IL273680B2. Автор: . Владелец: ASML Netherlands BV. Дата публикации: 2024-04-01.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: US20190279841A1. Автор: HONG Xiao. Владелец: KLA Tencor Corp. Дата публикации: 2019-09-12.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: IL276810B1. Автор: . Владелец: KLA Corp. Дата публикации: 2023-04-01.

Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system

Номер патента: IL276810B2. Автор: . Владелец: KLA Corp. Дата публикации: 2023-08-01.

Image-based metrology overlay metrology and monitoring using through-focus imaging

Номер патента: WO2019018488A1. Автор: David Gready,Nimrod Shuall,Claire E. STANIUNAS. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2019-01-24.

Thin overlay mark for imaging based metrology

Номер патента: US8741668B1. Автор: Mark Ghinovker. Владелец: KLA Tencor Corp. Дата публикации: 2014-06-03.

Multi-layer metrology systems and methods

Номер патента: WO2024184047A1. Автор: Sebastianus Adrianus GOORDEN,Louise Karina Laurie GOUTEUX. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-09-12.

Dispersion engineered beam modifier for a metrology system

Номер патента: WO2024120765A1. Автор: Roxana REZVANI NARAGHI,Saman Jahani. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-06-13.

Remote accessory for generating customized and synchronized reference notes for a programmable metrology system

Номер патента: US9606525B2. Автор: Kim Atherton. Владелец: Mitutoyo Corp. Дата публикации: 2017-03-28.

Optical arrangement for a metrology system

Номер патента: WO2023217499A1. Автор: Roxana REZVANI NARAGHI,Changsik YOON,Kuang-Yu Yang. Владелец: ASML Netherlands B.V.. Дата публикации: 2023-11-16.

Metrology system and lithographic system

Номер патента: US20230418168A1. Автор: Simon Reinald HUISMAN,Sebastianus Adrianus GOORDEN. Владелец: ASML Netherlands BV. Дата публикации: 2023-12-28.

Compact optical arrangement for a metrology system

Номер патента: WO2024088727A1. Автор: Roxana REZVANI NARAGHI,Saman Jahani. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-05-02.

Metrology system, and apparatus including primary mirror

Номер патента: US20240192478A1. Автор: Noboru Kawaguchi,Atsushi Kato,Satoru SOFUKU,Yuto OWAKI. Владелец: Mitsubishi Electric Corp. Дата публикации: 2024-06-13.

Virtual metrology system and method

Номер патента: US20190187674A1. Автор: Yi-Chun Lin,Ching-Hsing Hsieh,Feng-Chi Chung,Chien-Chuan Yu. Владелец: United Microelectronics Corp. Дата публикации: 2019-06-20.

Polarization Independent Metrology System

Номер патента: US20190243254A1. Автор: Krishanu SHOME,Justin Lloyd KREUZER. Владелец: Asml Holding Nv. Дата публикации: 2019-08-08.

Parallel sensing camera based metrology systems and methods

Номер патента: WO2024193929A1. Автор: Simon Reinald HUISMAN,Twan Van Lippen. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-09-26.

Paper discharge base of image forming apparatus

Номер патента: EP1127829A2. Автор: Takeshi c/o Riso Kagaku Corporation Tsurumaki. Владелец: Riso Kagaku Corp. Дата публикации: 2001-08-29.

Calibration of a small angle x-ray scatterometry based metrology system

Номер патента: IL302957B2. Автор: . Владелец: KLA Corp. Дата публикации: 2024-07-01.

Bright and clean x-ray source for x-ray based metrology

Номер патента: EP3653024A1. Автор: Oleg KHODYKIN. Владелец: KLA Tencor Corp. Дата публикации: 2020-05-20.

Bright and clean x-ray source for x-ray based metrology

Номер патента: WO2019046417A1. Автор: Oleg KHODYKIN. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2019-03-07.

Calibration Of A Small Angle X-Ray Scatterometry Based Metrology System

Номер патента: US20180113084A1. Автор: Di Regolo Joseph A.,Artemiev Nikolay,Hench John,Gellineau Antonio. Владелец: . Дата публикации: 2018-04-26.

Calibration of a small angle x-ray scatterometry based metrology system

Номер патента: IL302957B1. Автор: . Владелец: KLA Corp. Дата публикации: 2024-03-01.

Calibration of a small angle x-ray scatterometry based metrology system

Номер патента: IL302957A. Автор: . Владелец: KLA Corp. Дата публикации: 2023-07-01.

Calibration of a small angle x-ray scatterometry based metrology system

Номер патента: IL265798A. Автор: . Владелец: KLA Tencor Corp. Дата публикации: 2019-06-30.

Calibration of a small angle x-ray scatterometry based metrology system

Номер патента: IL265798B. Автор: . Владелец: KLA Corp. Дата публикации: 2022-02-01.

Calibration of a small angle x-ray scatterometry based metrology system

Номер патента: IL285130B1. Автор: . Владелец: KLA Corp. Дата публикации: 2024-05-01.

Methods And Systems For Scatterometry Based Metrology Of Structures Fabricated On Transparent Substrates

Номер патента: US20240201073A1. Автор: Xi Chen,Shankar Krishnan,Kaichun Yang. Владелец: KLA Corp. Дата публикации: 2024-06-20.

Measurement system optimization for x-ray based metrology

Номер патента: IL253113A0. Автор: . Владелец: KLA Tencor Corp. Дата публикации: 2017-08-31.

Scanning probe microscopy-based metrology tool with a vacuum partition

Номер патента: US20130097740A1. Автор: Prinz Friedrich B.,Mack James F.,Van Stockum Philip B.,Yemane Yonas T.. Владелец: . Дата публикации: 2013-04-18.

Bright And Clean X-Ray Source For X-Ray Based Metrology

Номер патента: US20190069385A1. Автор: Khodykin Oleg. Владелец: . Дата публикации: 2019-02-28.

INFRARED-BASED METROLOGY FOR DETECTION OF STRESS AND DEFECTS AROUND THROUGH SILICON VIAS

Номер патента: US20140233014A1. Автор: LEI Ming. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-08-21.

Measurement System Optimization For X-Ray Based Metrology

Номер патента: US20160202193A1. Автор: Shchegrov Andrei V.,Bakeman Michael S.,Hench John J.. Владелец: . Дата публикации: 2016-07-14.

Image based metrology of surface deformations

Номер патента: US20210366143A1. Автор: Guoheng Zhao,Mehdi Vaez-Iravani. Владелец: Applied Materials Inc. Дата публикации: 2021-11-25.

Model-based metrology using images

Номер патента: US11200658B2. Автор: Stilian Ivanov Pandev. Владелец: KLA Tencor Corp. Дата публикации: 2021-12-14.

Image based metrology of surface deformations

Номер патента: US11417010B2. Автор: Guoheng Zhao,Mehdi Vaez-Iravani. Владелец: Applied Materials Inc. Дата публикации: 2022-08-16.

Machine and deep learning methods for spectra-based metrology and process control

Номер патента: US11815819B2. Автор: Barak BRINGOLTZ,Oded Cohen,Noam Tal,Boaz STURLESI,Shay YOGEV,Ran Yacoby. Владелец: Nova Ltd. Дата публикации: 2023-11-14.

Bright and clean x-ray source for x-ray based metrology

Номер патента: EP3653024A4. Автор: Oleg KHODYKIN. Владелец: KLA Tencor Corp. Дата публикации: 2021-07-14.

Machine and deep learning methods for spectra-based metrology and process control

Номер патента: IL297022A. Автор: Barak BRINGOLTZ,Oded Cohen,Noam Tal,Boaz STURLESI,Shay YOGEV,Ran Yacoby. Владелец: Ran Yacoby. Дата публикации: 2022-12-01.

Semiconductor metrology system and method

Номер патента: US20240193473A1. Автор: Yun-Chung Teng,Jan-Hau Chang,Hsien-Hung Chang,Ming-Hsiung Fu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-13.

Non-destructive inspection and manufacturing metrology systems and methods

Номер патента: US12092565B2. Автор: Manjusha Mehendale,Robin Mair,Marco ALVES. Владелец: Onto Innovation Inc. Дата публикации: 2024-09-17.

Wavelength selection module, illumination system and metrology system

Номер патента: IL290143B2. Автор: . Владелец: ASML Netherlands BV. Дата публикации: 2024-09-01.

See-through metrology systems, apparatus, and methods for optical devices

Номер патента: EP4229385A4. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2024-10-16.

See-through metrology systems, apparatus, and methods for optical devices

Номер патента: EP4229386A4. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2024-10-02.

In-line metrology systems, apparatus, and methods for optical devices

Номер патента: EP4229387A4. Автор: Ludovic Godet,Yangyang Sun,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2024-10-16.

X-ray metrology system with broadband laser produced plasma illuminator

Номер патента: EP3738181B1. Автор: Alexander Bykanov,Oleg KHODYKIN. Владелец: KLA Tencor Corp. Дата публикации: 2024-10-16.

Metrology system using multiple radiation spots

Номер патента: WO2024022839A1. Автор: Simon Reinald HUISMAN,Sebastianus Adrianus GOORDEN. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-02-01.

Discharge-based photo ionisation detector for use with a gas chromatography system

Номер патента: US20180038832A1. Автор: Yves Gamache. Владелец: MÉCANIQUE ANALYTIQUE INC. Дата публикации: 2018-02-08.

PRE-DISCHARGING BASED FLIP-FLOP WITH A NEGATIVE SETUP TIME

Номер патента: US20210184660A1. Автор: Ghosh Abhishek,Goyal Mitesh,Bhat Aroma,Roy Arani,Rakheeb Abdur. Владелец: . Дата публикации: 2021-06-17.

Capillary-discharge based detector for chemical vapor monitoring

Номер патента: WO2005024387A2. Автор: Yixiang Duan. Владелец: The Regents of the University of California. Дата публикации: 2005-03-17.

Discharge-based photoionization detector for gas chromatography system

Номер патента: CN115808490A. Автор: Y.加马什. Владелец: MÉCANIQUE ANALYTIQUE INC. Дата публикации: 2023-03-17.

Discharge-based photo ionisation detector for use with a gas chromatography system

Номер патента: EP3265806A4. Автор: Yves Gamache. Владелец: MÉCANIQUE ANALYTIQUE INC. Дата публикации: 2019-03-13.

Spot-size control in reflection-based and scatterometry-based X-ray metrology systems

Номер патента: US11781999B2. Автор: Alexander Krokhmal. Владелец: Bruker Technologies Ltd. Дата публикации: 2023-10-10.

Wavelength selection module, illumination system and metrology system

Номер патента: IL290143B1. Автор: . Владелец: ASML Netherlands BV. Дата публикации: 2024-05-01.

Non-destructive inspection and manufacturing metrology systems and methods

Номер патента: WO2020237198A8. Автор: Manjusha Mehendale,Robin Mair,Marco ALVES. Владелец: Onto Innovation Inc.. Дата публикации: 2021-12-23.

LASER CONFOCAL SENSOR METROLOGY SYSTEM

Номер патента: US20140063486A1. Автор: Widman Michael F.,Powell P. Mark,Sites Peter W.,Wildsmith Christopher,Enns,I John B.. Владелец: JOHNSON & JOHNSON VISION CARE, INC.. Дата публикации: 2014-03-06.

UNDERWATER OPTICAL METROLOGY SYSTEM

Номер патента: US20190011552A1. Автор: Embry Carl W.,Manning Neil,Pierce Derek D.,Rickards Julian Peter,Moore Cory S.. Владелец: 3D at Depth, Inc.. Дата публикации: 2019-01-10.

METROLOGY SYSTEM AND STAGE CONTROL DEVICE USING THE SAME

Номер патента: US20180106645A1. Автор: KIM Hong Won,LEE Ho Hyun. Владелец: . Дата публикации: 2018-04-19.

OPTICAL METROLOGY SYSTEM FOR SPECTRAL IMAGING OF A SAMPLE

Номер патента: US20160116411A1. Автор: Buczkowski Andrzej. Владелец: . Дата публикации: 2016-04-28.

OPTICAL METROLOGY SYSTEM FOR SPECTRAL IMAGING OF A SAMPLE

Номер патента: US20150146193A1. Автор: Buczkowski Andrzej. Владелец: Nanometrics Incorporated. Дата публикации: 2015-05-28.

METHOD TO DETECT A DEFECT ON A LITHOGRAPHIC SAMPLE AND METROLOGY SYSTEM TO PERFORM SUCH A METHOD

Номер патента: US20220283513A1. Автор: Jabbour Toufic,Omlor Lars. Владелец: . Дата публикации: 2022-09-08.

METROLOGY SYSTEM AND METHOD FOR MEASURING AN EXCITATION LASER BEAM IN AN EUV PLASMA SOURCE

Номер патента: US20210190583A1. Автор: Manger Matthias,BAUMER Florian. Владелец: . Дата публикации: 2021-06-24.

Reference Circuit for Metrology System

Номер патента: US20170199089A1. Автор: Savoj Jafar,Fritchman Daniel J.. Владелец: . Дата публикации: 2017-07-13.

X-Ray Metrology System With Broadband Laser Produced Plasma Illuminator

Номер патента: US20190215940A1. Автор: Khodykin Oleg,Bykanov Alexander. Владелец: . Дата публикации: 2019-07-11.

Reference Circuit for Metrology System

Номер патента: US20200217729A1. Автор: Savoj Jafar,Fritchman Daniel J.. Владелец: . Дата публикации: 2020-07-09.

METROLOGY SYSTEM CALIBRATION REFINEMENT

Номер патента: US20140340682A1. Автор: Poslavsky Leonid,Krishnan Shankar,Kwak Hidong,Lesoine John,Sadiq Malik,Wei Lanhua,Sushchik Mikhail M.. Владелец: . Дата публикации: 2014-11-20.

SPECTROMETER, METROLOGY SYSTEM, AND SEMICONDUCTOR INSPECTION METHOD

Номер патента: US20220404197A1. Автор: KIM Jinyong,YASUHIRO Hidaka. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2022-12-22.

Reference circuit for metrology system

Номер патента: KR102179316B1. Автор: 다니엘 제이. 프리치맨,자파르 사보즈. Владелец: 애플 인크.. Дата публикации: 2020-11-16.

Spectrosmeter, metrology systems and semiconductor inspection methods

Номер патента: KR20220169377A. Автор: 김진용,야스히로 히다카. Владелец: 삼성전자주식회사. Дата публикации: 2022-12-27.

Metrology system with an EUV look

Номер патента: DE102017211443A1. Автор: Stefan Müller,Dirk Hellweg,Ralf Gehrke. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2019-01-10.

Metrology system having an EUV optical unit

Номер патента: US10948637B2. Автор: Stefan Mueller,Dirk Hellweg,Ralf Gehrke. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2021-03-16.

Metrology system, lithographic apparatus, and calibration method

Номер патента: WO2020164904A1. Автор: Nikhil Mehta,Piotr Jan Meyer. Владелец: ASML Holding N.V.. Дата публикации: 2020-08-20.

Spectrometer and metrology system

Номер патента: JP2023000800A. Автор: YASUHIRO Hidaka,康弘 日高,ジニョン キム,Jin-Yeong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-01-04.

X-ray metrology system with broadband laser produced plasma illuminator

Номер патента: US10959318B2. Автор: Alexander Bykanov,Oleg KHODYKIN. Владелец: KLA Tencor Corp. Дата публикации: 2021-03-23.

X-ray metrology system with broadband laser produced plasma illuminator

Номер патента: TWI791735B. Автор: 歐雷格 可哈達金,亞歷山德爾 畢卡諾維. Владелец: 美商克萊譚克公司. Дата публикации: 2023-02-11.

Metrology system for an extreme ultraviolet light source

Номер патента: WO2018175023A1. Автор: Jason Michael Arcand,Jesse Quinn Odle. Владелец: ASML Netherlands B.V.. Дата публикации: 2018-09-27.

Spot-size control in reflection-based and scatterometry-based X-ray metrology systems

Номер патента: US20230075421A1. Автор: Alexander Krokhmal. Владелец: Bruker Technologies Ltd. Дата публикации: 2023-03-09.

METROLOGY SYSTEM AND METHOD APPLIED TO A COMPONENT REMOTE ANALYSIS INTERFEROMETER

Номер патента: FR2972797B1. Автор: Jean Michel Gaucel,Didier MIRAS. Владелец: Centre National dEtudes Spatiales CNES. Дата публикации: 2019-12-13.

X-ray metrology system with broadband laser generated plasma illuminator

Номер патента: CN111566880A. Автор: A·毕卡诺维,O·可哈达金. Владелец: KLA Tencor Corp. Дата публикации: 2020-08-21.

Small-angle scattering x-ray metrology systems and methods

Номер патента: WO2015061312A1. Автор: John J. Hench,Ady Levy,Andrei Shchegrov,Michael Bakeman,Guorong Vera Zhuang. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2015-04-30.

Techniques for reducing optical noise in metrology systems

Номер патента: US20070121104A1. Автор: David Wang,James Hendrix. Владелец: Therma Wave Inc. Дата публикации: 2007-05-31.

Method to detect a defect on a lithographic sample and metrology system to perform such a method

Номер патента: US20220283513A1. Автор: Lars Omlor,Toufic Jabbour. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2022-09-08.

Optical device metrology systems and related methods

Номер патента: US11802791B2. Автор: Ludovic Godet,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2023-10-31.

Optical device metrology systems and related methods

Номер патента: EP4251965A1. Автор: Ludovic Godet,Jinxin FU,Kazuya DAITO. Владелец: Applied Materials Inc. Дата публикации: 2023-10-04.

High bandwith, dynamically rigid metrology system for the measurement and control of intelligent manufacturing processes

Номер патента: CA2229935A1. Автор: Demos Kyrazis. Владелец: Individual. Дата публикации: 1997-03-06.

Apparatus and method for controlling test charging and discharging based on vehicle to grid technology

Номер патента: US20230208144A1. Автор: Jae Yun Jung,Hye Won Kim,Kyoung Joo Kim. Владелец: Kia Corp. Дата публикации: 2023-06-29.

Metrology System for Positioning Assemblies

Номер патента: US20150314891A1. Автор: Cobb James M.,Best Steven A.,Munk Clayton Lynn,Reid Eric M.,DesJardien Matthew Ray,Day Dan Dresskell. Владелец: . Дата публикации: 2015-11-05.

INTEGRATED STANDARDIZED METROLOGY SYSTEM (ISMETS)

Номер патента: US20190303529A1. Автор: Cook,Sjoholm Paul F.,Journeay Glen Anthony,JR. Jonathan Gorman,Hoang Khanh Quoc. Владелец: . Дата публикации: 2019-10-03.

Magnifying imaging lens and metrology system having said imaging lens

Номер патента: WO2011012266A1. Автор: Hans-Jürgen Mann. Владелец: Carl Zeiss SMS GmbH. Дата публикации: 2011-02-03.

Magnifying imaging optics and metrology system with such an imaging optics

Номер патента: DE102009035582A1. Автор: Hans-Jürgen Dr. Mann. Владелец: Carl Zeiss SMS GmbH. Дата публикации: 2011-02-03.

Magnifying imaging optics and metrology system with such an imaging optics

Номер патента: DE102011084255A8. Автор: Dr. Mann Hans-Jürgen,Dr. Feldmann Heiko. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2012-08-02.

Self-testing metrologic system for an analog signal transmitting device

Номер патента: MY177474A. Автор: Jean-Marie Boulangey,Jean-Pierre Lorans. Владелец: Thales SA. Дата публикации: 2020-09-16.

Collimated beam metrology systems for in-situ surgical applications

Номер патента: EP2586368A3. Автор: Alexey Sharonov,Candido Dionisio Pinto. Владелец: COVIDIEN LP. Дата публикации: 2013-10-16.

Electric discharge-based mold processing equipment

Номер патента: KR102425435B1. Автор: 윤병호. Владелец: 윤병호. Дата публикации: 2022-07-25.

Model-Based Metrology Using Images

Номер патента: US20170061604A1. Автор: Pandev Stilian Ivanov. Владелец: . Дата публикации: 2017-03-02.

INTEGRATED USE OF MODEL-BASED METROLOGY AND A PROCESS MODEL

Номер патента: US20140172394A1. Автор: Shchegrov Andrei V.,Kuznetsov Alexander,Pandev Stilian Ivanov. Владелец: . Дата публикации: 2014-06-19.

Enhanced Stereo Imaging-Based Metrology

Номер патента: US20150110350A1. Автор: Zahid F. Mian,Ryk E. SPOOR,Ronald W. Gamache. Владелец: International Electronic Machines Corp. Дата публикации: 2015-04-23.

Automating integrated circuit device library generation in model based metrology

Номер патента: US20140201693A1. Автор: Nedal Saleh,Alok Vaid. Владелец: International Business Machines Corp. Дата публикации: 2014-07-17.

TEM-BASED METROLOGY METHOD AND SYSTEM

Номер патента: US20220310356A1. Автор: Kandel Daniel,MACHAVARIANI Vladimir,SHIFRIN MICHAEL,KUCHEROV VICTOR,ZISELMAN IGOR,URENSKI RONEN,SENDELBACH MATTHEW. Владелец: . Дата публикации: 2022-09-29.

TEM-BASED METROLOGY METHOD AND SYSTEM

Номер патента: US20210217581A1. Автор: Kandel Daniel,MACHAVARIANI Vladimir,SHIFRIN MICHAEL,KUCHEROV VICTOR,ZISELMAN IGOR,URENSKI RONEN,SENDELBACH MATTHEW. Владелец: . Дата публикации: 2021-07-15.

SYSTEMS AND METHODS FOR USER MACHINE INTERACTION FOR IMAGE-BASED METROLOGY

Номер патента: US20170262965A1. Автор: Xiong Ziyou,Finn Alan M.. Владелец: . Дата публикации: 2017-09-14.

Model-Based Metrology Using Images

Номер патента: US20190325571A1. Автор: Pandev Stilian Ivanov. Владелец: . Дата публикации: 2019-10-24.

TEM-BASED METROLOGY METHOD AND SYSTEM

Номер патента: US20190393016A1. Автор: Kandel Daniel,MACHAVARIANI Vladimir,SHIFRIN MICHAEL,KUCHEROV VICTOR,ZISELMAN IGOR,URENSKI RONEN,SENDELBACH MATTHEW. Владелец: . Дата публикации: 2019-12-26.

Statistical model-based metrology

Номер патента: KR102035376B1. Автор: 스틸리안 판데프,조나단 매드슨. Владелец: 케이엘에이 코포레이션. Дата публикации: 2019-10-23.

Integrated use of model-based metrology and a process model

Номер патента: US10769320B2. Автор: Andrei V. Shchegrov,Stilian Ivanov Pandev,Alexander Kuznetsov. Владелец: KLA Tencor Corp. Дата публикации: 2020-09-08.

Enhanced stereo imaging-based metrology

Номер патента: WO2015061387A1. Автор: Zahid F. Mian,Ryk E. SPOOR,Ronald W. Gamache. Владелец: INTERNATIONAL ELECTRONIC MACHINES CORPORATION. Дата публикации: 2015-04-30.

Integrated use of model-based metrology and a process model

Номер патента: WO2014100037A1. Автор: Andrei V. Shchegrov,Stilian Ivanov Pandev,Alexander Kuznetsov. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2014-06-26.

Metrology systems, temporal and spatial coherence scrambler and methods thereof

Номер патента: US20240241453A1. Автор: Mohamed Swillam. Владелец: Asml Holding Nv. Дата публикации: 2024-07-18.

Broad spectrum metrology systems and methods for various metrology mark types

Номер патента: WO2024184017A1. Автор: Yuxiang LIN. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-09-12.

Metrology system, lithographic apparatus, and method

Номер патента: US20240353761A1. Автор: Simon Reinald HUISMAN,Sebastianus Adrianus GOORDEN,Filippo ALPEGGIANI. Владелец: ASML Netherlands BV. Дата публикации: 2024-10-24.

Polarization selection metrology system, lithographic apparatus, and methods thereof

Номер патента: US20230400782A1. Автор: Douglas C. CAPPELLI. Владелец: Asml Holding Nv. Дата публикации: 2023-12-14.

Metrology system for packaging applications

Номер патента: US20240241456A1. Автор: Mehdi Vaez-Iravani,Venkatakaushik VOLETI. Владелец: Applied Materials Inc. Дата публикации: 2024-07-18.

Automation methodology for 3d image metrology systems

Номер патента: AU2226397A. Автор: Horst A. Beyer. Владелец: IMETRIC SA. Дата публикации: 1997-09-10.

Metrology system for packaging applications

Номер патента: WO2024155302A1. Автор: Mehdi Vaez-Iravani,Venkatakaushik VOLETI. Владелец: Applied Materials, Inc.. Дата публикации: 2024-07-25.

Multiple objectives metrology system, lithographic apparatus, and methods thereof

Номер патента: US20240012338A1. Автор: Douglas C. CAPPELLI. Владелец: Asml Holding Nv. Дата публикации: 2024-01-11.

Lithographic apparatus, metrology systems, and methods thereof

Номер патента: US20230341785A1. Автор: Yuxiang LIN,Daan Maurits SLOTBOOM,Simon Reinald HUISMAN,Sergey MALYK. Владелец: Asml Holding Nv. Дата публикации: 2023-10-26.

Robotic-Mounted Monument System for Metrology Systems

Номер патента: US20150012162A1. Автор: Cobb James M.,Trautman Peter Frederick,Sjoholm Paul Fredric. Владелец: . Дата публикации: 2015-01-08.

OPTICAL ASSEMBLY, PROJECTION SYSTEM, METROLOGY SYSTEM AND EUV LITHOGRAPHY APPARATUS

Номер патента: US20170102539A1. Автор: Weber Ulrich,Brehm Michael,Merkel Wolfgang,WEGERT Henry. Владелец: . Дата публикации: 2017-04-13.

Metrology system and lithographic system

Номер патента: WO2022106182A1. Автор: Simon Reinald HUISMAN,Sebastianus Adrianus GOORDEN. Владелец: ASML Netherlands B.V.. Дата публикации: 2022-05-27.

Fiber Delivery for Metrology Systems Used in Lithography Tools

Номер патента: US20130128249A1. Автор: Redlitz Kurt. Владелец: ZYGO CORPORATION. Дата публикации: 2013-05-23.

Calibration Of An Optical Metrology System For Critical Dimension Application Matching

Номер патента: US20130245985A1. Автор: Flock Klaus,Rotter Lawrence,Arain Muzammil. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2013-09-19.

Magnifying imaging optical unit and metrology system comprising such an imaging optical unit

Номер патента: US20130250428A1. Автор: Hans-Juergen Mann. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2013-09-26.

METROLOGY SYSTEM HAVING AN EUV OPTICAL UNIT

Номер патента: US20190011615A1. Автор: Mueller Stefan,HELLWEG Dirk,Gehrke Ralf. Владелец: . Дата публикации: 2019-01-10.

VIRTUAL METROLOGY SYSTEM AND METHOD

Номер патента: US20160041548A1. Автор: Lin Yi-Chun,Chung Feng-Chi,Hsieh Ching-Hsing,Yu Chien-Chuan. Владелец: . Дата публикации: 2016-02-11.

AIR QUALITY METROLOGY SYSTEM

Номер патента: US20170039235A1. Автор: Benrachi Samia,MASSE Jean-Charles,CONRATH Pascal,DECHANDON Vincent. Владелец: BULL SAS. Дата публикации: 2017-02-09.

Process-Sensitive Metrology Systems and Methods

Номер патента: US20170045826A1. Автор: Smith Mark D.,Subrahmanyan Pradeep,Levy Ady,Pandev Stilian,Lee Myungjun,Kapasi Sanjay,Sanko Dimitry. Владелец: . Дата публикации: 2017-02-16.

METROLOGY SYSTEM FOR SUBSTRATE DEFORMATION MEASUREMENT

Номер патента: US20190074201A1. Автор: BANNA SAMER,Egan Todd,TANTIWONG KYLE,VAEZ-IRAVANI Mehdi. Владелец: . Дата публикации: 2019-03-07.

Periodic Semiconductor Device Misregistration Metrology System and Method

Номер патента: US20210082099A1. Автор: Michelsson Detlef,Feler Yoel. Владелец: . Дата публикации: 2021-03-18.

Tree Metrology System

Номер патента: US20140163781A1. Автор: Vian John Lyle,Przybylko Joshua. Владелец: The Boeing Company. Дата публикации: 2014-06-12.

METHOD FOR PROGRAMMING A THREE-DIMENSIONAL WORKPIECE SCAN PATH FOR A METROLOGY SYSTEM

Номер патента: US20160103443A1. Автор: Bryll Robert Kamil. Владелец: . Дата публикации: 2016-04-14.

Overlay metrology system and method

Номер патента: US20150138555A1. Автор: Xintuo Dai,Binbin Yan. Владелец: Globalfoundries Inc. Дата публикации: 2015-05-21.

METROLOGY SYSTEM AND METHOD

Номер патента: US20220283515A1. Автор: HUISMAN Simon Reinald,ELAZHARY Tamer Mohamed Tawfik Ahmed Mohamed,KREUZER Justin Lloyd,GOORDEN Sebastianus Adrianus. Владелец: . Дата публикации: 2022-09-08.

REMOTE ACCESSORY FOR GENERATING CUSTOMIZED AND SYNCHRONIZED REFERENCE NOTES FOR A PROGRAMMABLE METROLOGY SYSTEM

Номер патента: US20150177729A1. Автор: Atherton Kim. Владелец: MITUTOYO CORPORATION. Дата публикации: 2015-06-25.

Remote Accessory Management in a Programming Environment for a Progammable Metrology System

Номер патента: US20150178484A1. Автор: Emtman Casey Edward,McNamara John W.. Владелец: . Дата публикации: 2015-06-25.

VIRTUAL METROLOGY SYSTEM AND METHOD

Номер патента: US20190187674A1. Автор: Lin Yi-Chun,Chung Feng-Chi,Hsieh Ching-Hsing,Yu Chien-Chuan. Владелец: UNITED MICROELECTRONICS CORP.. Дата публикации: 2019-06-20.

Polarization Independent Metrology System

Номер патента: US20190243254A1. Автор: KREUZER Justin Lloyd,SHOME Krishanu. Владелец: ASML Holding N.V.. Дата публикации: 2019-08-08.

MAGNIFYING IMAGING OPTICAL UNIT AND METROLOGY SYSTEM INCLUDING SAME

Номер патента: US20140362584A1. Автор: Mann Hans-Juergen. Владелец: . Дата публикации: 2014-12-11.

POLARIZATION INDEPENDENT METROLOGY SYSTEM

Номер патента: US20180299790A1. Автор: KREUZER Justin Lloyd,SHOME Krishanu. Владелец: ASML Holding N.V.. Дата публикации: 2018-10-18.

Semiconductor Inspection And Metrology System Using Laser Pulse Multiplier

Номер патента: US20150364895A1. Автор: Armstrong J. Joseph,Chuang Yung-Ho Alex,Deng Yujun,Liou Justin Dianhuan. Владелец: . Дата публикации: 2015-12-17.

METROLOGY SYSTEM FOR MEASUREMENT UNCERTAINTY ANALYSIS

Номер патента: US20190354915A1. Автор: Hockett Jacob Daniel. Владелец: . Дата публикации: 2019-11-21.

Multiple objectives metrology system, lithographic apparatus, and methods thereof

Номер патента: WO2022112064A1. Автор: Douglas C. CAPPELLI. Владелец: ASML Holding N.V.. Дата публикации: 2022-06-02.

Metrology system using optical phase

Номер патента: US6710876B1. Автор: Guoheng Zhao,Mehrdad Nikoonahad,Ian Smith,Mehdi Vaez-Iravani. Владелец: KLA Tencor Technologies Corp. Дата публикации: 2004-03-23.

Automated overlay metrology system

Номер патента: US20020192577A1. Автор: Bernard Fay,Arun Aiyer. Владелец: Nikon Corp. Дата публикации: 2002-12-19.

Metrology system, lithographic apparatus, and method

Номер патента: WO2020169419A1. Автор: Yuxiang LIN,Joshua Adams. Владелец: ASML Holding N.V.. Дата публикации: 2020-08-27.

Lithographic apparatus, metrology systems, illumination sources and methods thereof

Номер патента: WO2021136632A1. Автор: Marinus Petrus REIJNDERS,Mohamed Swillam. Владелец: ASML Holding N.V.. Дата публикации: 2021-07-08.

Lithographic apparatus, metrology systems, and methods thereof

Номер патента: WO2021254810A1. Автор: Yuxiang LIN,Daan Maurits SLOTBOOM,Simon Reinald HUISMAN,Sergey MALYK. Владелец: ASML Holding N.V.. Дата публикации: 2021-12-23.

Lithographic apparatus, metrology systems, illumination switches and methods thereof

Номер патента: WO2021259618A1. Автор: Marinus Petrus REIJNDERS,Mohamed Swillam. Владелец: ASML Holding N.V.. Дата публикации: 2021-12-30.

METROLOGY SYSTEM OF AIR QUALITY

Номер патента: FR3020159B1. Автор: Samia BENRACHI,Jean-Charles MASSE,Pascal CONRATH,Vincent DECHANDON. Владелец: Bull SA. Дата публикации: 2018-03-02.

Automated overlay metrology system

Номер патента: US7087352B2. Автор: Bernard Fay,Arun A. Aiver. Владелец: Nikon Corp. Дата публикации: 2006-08-08.

Metrology system, lithographic apparatus, and method

Номер патента: WO2023030832A1. Автор: Simon Reinald HUISMAN,Sebastianus Adrianus GOORDEN,Filippo ALPEGGIANI. Владелец: ASML Netherlands B.V.. Дата публикации: 2023-03-09.

Lithographic apparatus, metrology systems, illumination sources and methods thereof

Номер патента: US20230058714A1. Автор: Marinus Petrus REIJNDERS,Mohamed Swillam. Владелец: Asml Holding Nv. Дата публикации: 2023-02-23.

Calibration of an optical metrology system for critical dimension application matching

Номер патента: TW201346213A. Автор: Lawrence Rotter,Klaus Flock,Muzammil Arain. Владелец: KLA Tencor Corp. Дата публикации: 2013-11-16.

Automated overlay metrology system

Номер патента: US20040109165A1. Автор: Bernard Fay,Arun Aiver. Владелец: Aiver Arun A.. Дата публикации: 2004-06-10.

Lithographic apparatus, metrology system, illumination switch and method thereof

Номер патента: CN115702392A. Автор: M·P·瑞因德斯,M·斯维拉姆. Владелец: Asml Holding Nv. Дата публикации: 2023-02-14.

Photolithography mask critical dimension metrology system and method

Номер патента: US7251015B2. Автор: Chiu-Shan Yoo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2007-07-31.

High performance front objective for video metrological system

Номер патента: US20090096912A1. Автор: Stephanie Bloch. Владелец: Quality Vision International Inc. Дата публикации: 2009-04-16.

Air quality metrology system

Номер патента: EP3132410A1. Автор: Samia BENRACHI,Jean-Charles MASSE,Pascal CONRATH,Vincent DECHANDON. Владелец: Bull SA. Дата публикации: 2017-02-22.

Automation method for 3D image metrology systems

Номер патента: CN1212064A. Автор: H·A·贝耶尔. Владелец: Imetric S A. Дата публикации: 1999-03-24.

Method for evaluating reliance level of a virtual metrology system

Номер патента: TW200742951A. Автор: Fan-Tien Cheng,Yu-Chuan Su,Yeh-Tung Chen. Владелец: Univ Nat Cheng Kung. Дата публикации: 2007-11-16.

Magnifying imaging optical unit and metrology system comprising such an imaging optical unit

Номер патента: WO2012101269A1. Автор: Hans-Jürgen Mann. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2012-08-02.

Metrology system for extreme ultraviolet light source

Номер патента: WO2022243006A1. Автор: Lam Nguyen,Dustin Michael URONE,Paul Alexander MCKENZIE,Gregory THERIAULT. Владелец: ASML Netherlands B.V.. Дата публикации: 2022-11-24.

Polarization selection metrology system, lithographic apparatus, and methods thereof

Номер патента: IL302446A. Автор: . Владелец: Asml Holding Nv. Дата публикации: 2023-06-01.

Operating a metrology system, lithographic apparatus, and methods thereof

Номер патента: US20240134289A1. Автор: Bram Paul Theodoor Van Goch,Johan KUNNEN,Sae Na NA. Владелец: Asml Holding Nv. Дата публикации: 2024-04-25.

Magnifying imaging optical unit and metrology system including same

Номер патента: US20140362584A1. Автор: Hans-Juergen Mann. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2014-12-11.

Integration of sensor based metrology into semiconductor processing tools

Номер патента: US20050072528A1. Автор: Yehiel Gotkis,Rodney Kistler,Aleksander Owczarz,David Hemker. Владелец: Lam Research Corp. Дата публикации: 2005-04-07.

Receiving part cover structure of discharging base containing dissimilar materials

Номер патента: KR20050071412A. Автор: 이정민,이성재. Владелец: 이성재. Дата публикации: 2005-07-07.

Paper discharge base of image forming apparatus

Номер патента: EP1127829B1. Автор: Takeshi c/o Riso Kagaku Corporation Tsurumaki. Владелец: Riso Kagaku Corp. Дата публикации: 2005-07-27.

Methods and systems of object based metrology for advanced wafer surface nanotopography

Номер патента: EP2663998A2. Автор: Haiguang Chen,Jaydeep K. Sinha,Sergey Kamensky. Владелец: KLA Tencor Corp. Дата публикации: 2013-11-20.

STATISTICAL MODEL-BASED METROLOGY

Номер патента: US20140297211A1. Автор: Madsen Jonathan M.,Pandev Stilian Ivanov. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2014-10-02.

Methods and systems of object based metrology for advanced wafer surface nanotopography

Номер патента: EP2663998A4. Автор: Haiguang Chen,Sergey Kamensky,Jaydeep K SINHA. Владелец: KLA Tencor Corp. Дата публикации: 2016-06-15.

Subsurface alignment metrology system for packaging applications

Номер патента: US20240170317A1. Автор: Mehdi Vaez-Iravani,Venkatakaushik VOLETI,Keith Buckley Wells. Владелец: Applied Materials Inc. Дата публикации: 2024-05-23.

Corona discharge based treatments of articles

Номер патента: US20210290799A1. Автор: Xudong Wang,Ying Zhong,Libin Ye,Siriam Sundar Shankara Narayanan,Vladislav Paley. Владелец: UNIVERSITY OF SOUTH FLORIDA. Дата публикации: 2021-09-23.

Subsurface alignment metrology system for packaging applications

Номер патента: WO2024112583A1. Автор: Mehdi Vaez-Iravani,Venkatakaushik VOLETI,Keith Buckley Wells. Владелец: Applied Materials, Inc.. Дата публикации: 2024-05-30.

discharge base

Номер патента: DE50206068D1. Автор: Thomas Ruether,Ludger Brentrup,Ralf Osburg,Dipl-Ing Koenning,Holger Schmidthals,Martin Torner. Владелец: Polysius AG. Дата публикации: 2006-05-11.

discharge base

Номер патента: DE10201057A1. Автор: Thomas Ruether,Ludwig Koenning,Ludger Brentrup,Ralf Osburg,Holger Schmidthals,Martin Torner. Владелец: Polysius AG. Дата публикации: 2003-07-24.

Discharge base

Номер патента: US20030131768A1. Автор: Ludwig Könning,Thomas Rüther,Ludger Brentrup,Ralf Osburg,Holger Schmidthals,Martin Torner. Владелец: Polysius AG. Дата публикации: 2003-07-17.

ELECTROPLATING AND POST-ELECTROFILL SYSTEMS WITH INTEGRATED PROCESS EDGE IMAGING AND METROLOGY SYSTEMS

Номер патента: US20150001087A1. Автор: Dinneen Daniel Mark,Duncan James E.. Владелец: . Дата публикации: 2015-01-01.

DEVICE AND METHOD FOR OPTICAL IMAGE CORRECTION IN METROLOGY SYSTEMS

Номер патента: US20130226156A1. Автор: Sharonov Alexey. Владелец: COVIDIEN LP. Дата публикации: 2013-08-29.

IN-LINE METROLOGY SYSTEM

Номер патента: US20140065731A1. Автор: George,Allenic Arnold,Karpenko Oleh Petro,Jayaraman Sreenivas,Lim Chong,II Stephan Paul. Владелец: FIRST SOLAR, INC. Дата публикации: 2014-03-06.

EMBEDDED ANTENNA ARRAY METROLOGY SYSTEMS AND METHODS

Номер патента: US20200076073A1. Автор: Hill Adrian A.,McBryde Connor C.. Владелец: . Дата публикации: 2020-03-05.

Integrated circuit process monitoring and metrology system

Номер патента: US20050181615A1. Автор: Peter Burke,Eric Kirchner,James Elmer. Владелец: LSI Logic Corp. Дата публикации: 2005-08-18.

Metrology system and method

Номер патента: TWI731170B. Автор: 法蘭克 雷斯基,馬克 艾倫 尼爾. Владелец: 美商克萊譚克公司. Дата публикации: 2021-06-21.

Circumferential laser crawler

Номер патента: US20130265588A1. Автор: Barry Theophile Cooke. Владелец: Boeing Co. Дата публикации: 2013-10-10.

Circumferential laser crawler

Номер патента: CA2807610C. Автор: Barry T. Cooke. Владелец: Boeing Co. Дата публикации: 2020-07-07.

Thickness measurement of substrate using color metrology

Номер патента: US20230281801A1. Автор: Dominic J. Benvegnu. Владелец: Applied Materials Inc. Дата публикации: 2023-09-07.

Thickness measurement of substrate using color metrology

Номер патента: US20210248730A1. Автор: Dominic J. Benvegnu. Владелец: Applied Materials Inc. Дата публикации: 2021-08-12.

Thickness measurement of substrate using color metrology

Номер патента: US20190295239A1. Автор: Dominic J. Benvegnu. Владелец: Applied Materials Inc. Дата публикации: 2019-09-26.

Strontium tetraborate as optical coating material

Номер патента: US20210131978A1. Автор: Yung-Ho Alex Chuang,John Fielden,Yinying Xiao-Li,Elena Loginova. Владелец: KLA Corp. Дата публикации: 2021-05-06.

Strontium tetraborate as optical coating material

Номер патента: EP3938766A1. Автор: Yung-Ho Alex Chuang,John Fielden,Yinying XIAOLI,Elena Loginova. Владелец: KLA Corp. Дата публикации: 2022-01-19.

Debris removal from high aspect structures

Номер патента: US20240269717A1. Автор: Kenneth Gilbert Roessler,Tod Evan Robinson,David Brinkley,Jeffrey E. Leclaire,Bernabe Arruza. Владелец: BRUKER NANO INC. Дата публикации: 2024-08-15.

Method and apparatus for charging/discharging electric vehicle

Номер патента: EP4292871A1. Автор: Young Soo Dow. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2023-12-20.

Method and apparatus for charging/discharging electric vehicle

Номер патента: US20230408273A1. Автор: Young Soo Dow. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2023-12-21.

Vehicle traction battery over-discharge diagnosing method and assembly

Номер патента: US20210263104A1. Автор: Di Zhu,Mehdi Hosseinifar. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2021-08-26.

Debris removal in high aspect structures

Номер патента: US10384238B2. Автор: Kenneth Gilbert Roessler,Tod Evan Robinson,Bernabe J. Arruza,David Brinkley,Jeffrey E. Leclaire. Владелец: Rave LLC. Дата публикации: 2019-08-20.

Debris removal from high aspect structures

Номер патента: US11964310B2. Автор: Kenneth Gilbert Roessler,Tod Evan Robinson,David Brinkley,Jeffrey E. Leclaire,Bernabe Arruza. Владелец: BRUKER NANO INC. Дата публикации: 2024-04-23.

Debris removal from high aspect structures

Номер патента: US20160266165A1. Автор: Kenneth Gilbert Roessler,Tod Evan Robinson,Bernabe J. Arruza,David Brinkley,Jeffrey E. Leclaire. Владелец: Rave LLC. Дата публикации: 2016-09-15.

Pulsed electric discharge device

Номер патента: US11876352B2. Автор: Romain Pecquois. Владелец: ADM28 France SAS. Дата публикации: 2024-01-16.

Apparatus and method for determining battery life

Номер патента: US11982718B2. Автор: Young Chul LIM. Владелец: Kia Corp. Дата публикации: 2024-05-14.

Apparatus and method for determining battery life

Номер патента: US20230152379A1. Автор: Young Chul LIM. Владелец: Kia Corp. Дата публикации: 2023-05-18.

Measurement models of nanowire semiconductor structures based on re-usable sub-structures

Номер патента: WO2019178424A1. Автор: Alexander Kuznetsov,Houssam Chouaib. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2019-09-19.

Measurement Models Of Nanowire Semiconductor Structures Based On Re-Useable Sub-Structures

Номер патента: US20190286787A1. Автор: Alexander Kuznetsov,Houssam Chouaib. Владелец: KLA Tencor Corp. Дата публикации: 2019-09-19.

Method for measuring thin films

Номер патента: WO2004092714A1. Автор: Alexei Maznev. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2004-10-28.

Method for converting metrology data

Номер патента: EP4399573A1. Автор: FENG Yang,ZHU Wang,Qian Zhao,Jen-Shiang Wang,Mu FENG,Yunbo Guo. Владелец: ASML Netherlands BV. Дата публикации: 2024-07-17.

Thin-film metrology using spectral reflectance with an intermediate in-line reference

Номер патента: US20080180684A1. Автор: Scott A. Chalmers,Randall S. Geels. Владелец: Individual. Дата публикации: 2008-07-31.

Design-assisted large field of view metrology

Номер патента: WO2023059669A1. Автор: Frank Laske,Stefan Eyring. Владелец: KLA Corporation. Дата публикации: 2023-04-13.

Design-assisted large field of view metrology

Номер патента: EP4341893A1. Автор: Frank Laske,Stefan Eyring. Владелец: KLA Corp. Дата публикации: 2024-03-27.

Web edge metrology

Номер патента: US12080869B2. Автор: David Alvarez,David Masayuki Ishikawa,Ezhiylmurugan Rangasamy,Girish Kumar GOPALAKRISHNAN NAIR,Kent Qiujing ZHAO. Владелец: Applied Materials Inc. Дата публикации: 2024-09-03.

Simultaneous Capturing of Overlay Signals From Multiple Targets

Номер патента: US20180335346A1. Автор: Amnon Manassen,Yuri Paskover,Andrew V. Hill,Yuval LUBASHEVSKY. Владелец: KLA Tencor Corp. Дата публикации: 2018-11-22.

Simultaneous capturing of overlay signals from multiple targets

Номер патента: SG11201900509YA. Автор: Amnon Manassen,Yuri Paskover,Yuval LUBASHEVSKY,Andy HILL (Andrew). Владелец: KLA Tencor Corp. Дата публикации: 2019-02-27.

Simultaneous capturing of overlay signals from multiple targets

Номер патента: WO2018023078A1. Автор: Amnon Manassen,Yuri Paskover,Yuval LUBASHEVSKY,Andy HILL (Andrew). Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2018-02-01.

Portable gage calibration system and method

Номер патента: US20040260498A1. Автор: Craig Green,Philip Freeman. Владелец: Boeing Co. Дата публикации: 2004-12-23.

Design-assisted large field of view metrology

Номер патента: US12085385B2. Автор: Frank Laske,Stefan Eyring. Владелец: KLA Corp. Дата публикации: 2024-09-10.

Portable optic metrology thermal chamber module and method therefor

Номер патента: US12104975B2. Автор: David Imrie. Владелец: Optikos Corp. Дата публикации: 2024-10-01.

Scanning scatterometry overlay metrology

Номер патента: EP4384774A1. Автор: Andrew Hill,Amnon Manassen,Yuri Paskover,Yuval LUBASHEVSKY,Yonatan Vaknin,Itay Gdor. Владелец: KLA Corp. Дата публикации: 2024-06-19.

Imaging overlay with mutually coherent oblique illumination

Номер патента: WO2023154255A1. Автор: Vladimir Levinski,Amnon Manassen,Daria Negri,Andrew V. Hill,Yonatan Vaknin. Владелец: KLA Corporation. Дата публикации: 2023-08-17.

3D IC bump height metrology APC

Номер патента: US11075097B2. Автор: Chi-Ming Yang,Nai-Han Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-27.

Imaging overlay with mutually coherent oblique illumination

Номер патента: US20230259040A1. Автор: Vladimir Levinski,Amnon Manassen,Daria Negri,Andrew V. Hill,Yonatan Vaknin. Владелец: KLA Corp. Дата публикации: 2023-08-17.

Phase retrieval system for assessing diamond-turning and other optical surface artifacts

Номер патента: US20120050724A1. Автор: Bruce H. Dean,Matthew R. Bolar,Alejandro V. Maldonado. Владелец: Individual. Дата публикации: 2012-03-01.

Wafer and stage alignment using photonic devices

Номер патента: US20090002721A1. Автор: LIN Zhou,Shahin Zangooie. Владелец: International Business Machines Corp. Дата публикации: 2009-01-01.

Scanning overlay metrology with high signal to noise ratio

Номер патента: US20240280914A1. Автор: Vladimir Levinski,Amnon Manassen,Andrew V. Hill. Владелец: KLA Corp. Дата публикации: 2024-08-22.

Scanning overlay metrology with high signal to noise ratio

Номер патента: WO2024173150A1. Автор: Vladimir Levinski,Amnon Manassen,Andrew V Hill. Владелец: KLA Corporation. Дата публикации: 2024-08-22.

None

Номер патента: US20140002827A1. Автор: Fulvio Bresciani,Fabio Musso. Владелец: Thales Alenia Space Italia SpA. Дата публикации: 2014-01-02.

3d ic bump height metrology apc

Номер патента: US20190139800A1. Автор: Chi-Ming Yang,Nai-Han Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-09.

Three-dimensional calibration tools and methods

Номер патента: EP3465083A1. Автор: Roy Allen,Stephen M. Mcmahon. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2019-04-10.

Contour measurement system

Номер патента: WO1995017644A1. Автор: Gregory C. Hull-Allen. Владелец: UNITED TECHNOLOGIES CORPORATION. Дата публикации: 1995-06-29.

Hybrid metrology for patterned wafer characterization

Номер патента: WO2018075808A1. Автор: Andrei Veldman,Andrei Shchegrov,Alexander Kuznetsov,Boxue Chen. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2018-04-26.

Robot guidance using multiple frames

Номер патента: GB2616844A. Автор: Holden Roger. Владелец: True Position Robotics Ltd. Дата публикации: 2023-09-27.

Method and system for optimizing optical inspection of patterned structures

Номер патента: US20230401690A1. Автор: Boaz Brill. Владелец: Nova Ltd. Дата публикации: 2023-12-14.

Parallax method for a single-cell diffraction based measurement of misregistration

Номер патента: US20230259041A1. Автор: Yuval LAMHOT,Mordechy Kot. Владелец: KLA Corp. Дата публикации: 2023-08-17.

Optical metrology in machine learning to characterize features

Номер патента: US20210035833A1. Автор: Ye Feng,Yan Zhang,Osman SORKHABI. Владелец: Lam Research Corp. Дата публикации: 2021-02-04.

Stitching of near-nulled subaperture measurements

Номер патента: EP2286179A2. Автор: Paul Murphy,Christopher Brophy,Greg Forbes,Gary Devries. Владелец: QED Technologies International LLC. Дата публикации: 2011-02-23.

Image-based autofocus for metrology

Номер патента: WO2024127383A1. Автор: Arkady Kaplan,Schachar SHIDORSKY. Владелец: NOVA LTD.. Дата публикации: 2024-06-20.

Sensitive optical metrology in scanning and static modes

Номер патента: EP4025903A1. Автор: Yoram Uziel,Andrew Hill,Amnon Manassen,Yossi Simon,Gilad Laredo. Владелец: KLA Corp. Дата публикации: 2022-07-13.

Sensitive optical metrology in scanning and static modes

Номер патента: WO2021061584A1. Автор: Yoram Uziel,Andrew Hill,Amnon Manassen,Yossi Simon,Gilad Laredo. Владелец: KLA Corporation. Дата публикации: 2021-04-01.

Non-destructive gap metrology

Номер патента: US20230296371A1. Автор: Liang Zhang,Jianyong Mo,V Wade SINGLETON,Yiren WU,David Wasinger. Владелец: Intel Corp. Дата публикации: 2023-09-21.

System and method for determining post bonding overlay

Номер патента: US11829077B2. Автор: David Owen,Mark D. Smith,Franz Zach,Xiaomeng SHEN,Jason Saito. Владелец: KLA Corp. Дата публикации: 2023-11-28.

System and method for detecting particle contamination on a bonding tool

Номер патента: EP4324024A1. Автор: Mark D. Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2024-02-21.

Method and System for Regional Phase Unwrapping with Pattern-Assisted Correction

Номер патента: US20170241764A1. Автор: Xuan Zhao,Helen Liu,Xiaowei Li. Владелец: KLA Tencor Corp. Дата публикации: 2017-08-24.

System and method for determining post bonding overlay

Номер патента: US20240094642A1. Автор: David Owen,Mark D. Smith,Franz Zach,Xiaomeng SHEN,Jason Saito. Владелец: KLA Corp. Дата публикации: 2024-03-21.

Shaft cone crown measurement system and methodology

Номер патента: US20100094588A1. Автор: Ananda V. Mysore,Steve G. Gonzalez. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2010-04-15.

System and method for determining post bonding overlay

Номер патента: WO2022125343A1. Автор: David Owen,Mark D. Smith,Franz Zach,Xiaomeng SHEN,Jason Saito. Владелец: KLA Corporation. Дата публикации: 2022-06-16.

System and method for determining post bonding overlay

Номер патента: EP4248489A1. Автор: David Owen,Mark D. Smith,Franz Zach,Xiaomeng SHEN,Jason Saito. Владелец: KLA Corp. Дата публикации: 2023-09-27.

Darkfield imaging of grating target structures for overlay measurement

Номер патента: US20210072021A1. Автор: Amnon Manassen,Andrew V. Hill. Владелец: KLA Corp. Дата публикации: 2021-03-11.

Darkfield imaging of grating target structures for overlay measurement

Номер патента: EP4025901A1. Автор: Andrew Hill,Amnon Manassen. Владелец: KLA Corp. Дата публикации: 2022-07-13.

Method of manufacturing a precision micro-filter

Номер патента: US5256360A. Автор: Hong Li. Владелец: Panasonic Technologies Inc. Дата публикации: 1993-10-26.

Web edge metrology

Номер патента: EP4259842A1. Автор: David Alvarez,David Masayuki Ishikawa,Ezhiylmurugan Rangasamy,Girish Kumar GOPALAKRISHNANNAIR,Kent ZHAO. Владелец: Applied Materials Inc. Дата публикации: 2023-10-18.

Telescopes

Номер патента: WO2021018634A1. Автор: Ian Robert PARRY. Владелец: Cambridge Enterprise Limited. Дата публикации: 2021-02-04.

Measurement assembly, method for measuring a feature on a surface, method for manufacturing a structure and pointer

Номер патента: WO2013187532A1. Автор: W. Thomas Novak. Владелец: NIKON CORPORATION. Дата публикации: 2013-12-19.

Optical Metrology With Small Illumination Spot Size

Номер патента: US20180180406A1. Автор: Noam Sapiens,Andrei V. Shchegrov,Kevin A. Peterlinz,Alexander Buettner,Kerstin PURRUCKER. Владелец: KLA Tencor Corp. Дата публикации: 2018-06-28.

3d ic bump height metrology apc

Номер патента: US20200152495A1. Автор: Chi-Ming Yang,Nai-Han Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-14.

Moiré scatterometry overlay

Номер патента: US11841621B2. Автор: Vladimir Levinski,Amnon Manassen,Yuri Paskover,Andrew V. Hill. Владелец: Kla Corp Ca. Дата публикации: 2023-12-12.

Portable optic metrology thermal chamber module and method therefor

Номер патента: US20230258527A1. Автор: David Imrie. Владелец: Optikos Corp. Дата публикации: 2023-08-17.

Scanning overlay metrology using overlay targets having multiple spatial frequencies

Номер патента: US11796925B2. Автор: Daria Negri,Yuval LUBASHEVSKY,Itay Gdor,Eitan Hajaj. Владелец: KLA Corp. Дата публикации: 2023-10-24.

Scanning scatterometry overlay metrology

Номер патента: WO2023191980A1. Автор: Andrew Hill,Amnon Manassen,Yuri Paskover,Yuval LUBASHEVSKY,Yonatan Vaknin,Itay Gdor. Владелец: KLA Corporation. Дата публикации: 2023-10-05.

Scanning scatterometry overlay metrology

Номер патента: US20230314319A1. Автор: Amnon Manassen,Yuri Paskover,Andrew V. Hill,Yuval LUBASHEVSKY,Yonatan Vaknin,Itay Gdor. Владелец: KLA Corp. Дата публикации: 2023-10-05.

Single-cell scatterometry overlay with sensitivity calibration

Номер патента: US20240160114A1. Автор: Mordechy Kot. Владелец: KLA Corp. Дата публикации: 2024-05-16.

On The Fly Target Acquisition

Номер патента: US20190228518A1. Автор: Andrew Hill,Amnon Manassen,Alexander Novikov,Nadav GUTMAN,Yossi Simon,Eugene Maslovsky. Владелец: KLA Tencor Corp. Дата публикации: 2019-07-25.

Scanning overlay metrology using overlay targets having multiple spatial frequencies

Номер патента: EP4377750A1. Автор: Daria Negri,Yuval LUBASHEVSKY,Itay Gdor,Eitan Hajaj. Владелец: KLA Corp. Дата публикации: 2024-06-05.

Library expansion system, method, and computer program product for metrology

Номер патента: WO2015175900A1. Автор: Leonid Poslavsky,Lie-Quan Rich LEE. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2015-11-19.

Single-cell scatterometry overlay with sensitivity calibration

Номер патента: WO2024107366A1. Автор: Mordechy Kot. Владелец: KLA Corporation. Дата публикации: 2024-05-23.

Imaging overlay with mutually coherent oblique illumination

Номер патента: US12032300B2. Автор: Vladimir Levinski,Amnon Manassen,Daria Negri,Andrew V. Hill,Yonatan Vaknin. Владелец: KLA Corp. Дата публикации: 2024-07-09.

Information processing device and information processing system

Номер патента: EP4043145A1. Автор: Kenta Kanto. Владелец: DMG Mori Co Ltd. Дата публикации: 2022-08-17.

Information processing device and information processing system

Номер патента: US20220288618A1. Автор: Kenta Kanto. Владелец: DMG Mori Co Ltd. Дата публикации: 2022-09-15.

Artefact for evaluating the performance of an x-ray computed tomography system

Номер патента: EP2954286A1. Автор: Daniel Hilton,Diego Alfredo Punin Albarracin. Владелец: NIKON METROLOGY NV. Дата публикации: 2015-12-16.

Metrology recipe generation using predicted metrology images

Номер патента: WO2018118663A3. Автор: Brian Duffy,Mark D. Smith,Chao FANG. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2018-08-02.

Reflection symmetric scatterometry overlay targets and methods

Номер патента: WO2015013621A1. Автор: Daniel Kandel,Barak BRINGOLTZ. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2015-01-29.

Non-destructive gap metrology

Номер патента: US11913772B2. Автор: Liang Zhang,Jianyong Mo,V Wade SINGLETON,Yiren WU,David Wasinger. Владелец: Intel Corp. Дата публикации: 2024-02-27.

Oblique illumination for overlay metrology

Номер патента: EP4308910A1. Автор: YOEL Feler,Amnon Manassen,Mark Ghinovker,Andrew V. Hill,Yonatan Vaknin. Владелец: KLA Corp. Дата публикации: 2024-01-24.

Sensitive optical metrology in scanning and static modes

Номер патента: US11933717B2. Автор: Yoram Uziel,Amnon Manassen,Andrew V. Hill,Yossi Simon,Gilad Laredo. Владелец: KLA Corp. Дата публикации: 2024-03-19.

Optical metrology in machine learning to characterize features

Номер патента: US11921433B2. Автор: Ye Feng,Yan Zhang,Osman SORKHABI. Владелец: Lam Research Corp. Дата публикации: 2024-03-05.

Multi-pitch grid overlay target for scanning overlay metrology

Номер патента: US20240068804A1. Автор: Daria Negri,Yuval LUBASHEVSKY,Itay Gdor,Eitan Hajaj. Владелец: KLA Corp. Дата публикации: 2024-02-29.

Multi-pitch grid overlay target for scanning overlay metrology

Номер патента: WO2024044112A1. Автор: Daria Negri,Yuval LUBASHEVSKY,Itay Gdor,Eitan Hajaj. Владелец: KLA Corporation. Дата публикации: 2024-02-29.

Sample Transport Device With Integrated Metrology

Номер патента: US20190295874A1. Автор: Kevin O'Brien,Shankar Krishnan,Joshua Butler,Giampietro Bieli,Robert Tas. Владелец: KLA Corp. Дата публикации: 2019-09-26.

Sample transport device with integrated metrology

Номер патента: WO2019183433A1. Автор: Kevin O'Brien,Shankar Krishnan,Joshua Butler,Robert D. Tas,Giampietro Bieli. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2019-09-26.

Methods and systems for compact, small spot size soft x-ray scatterometry

Номер патента: EP4248194A1. Автор: David Y. Wang,Michael Friedmann,Kerstin PURRUCKER. Владелец: KLA Corp. Дата публикации: 2023-09-27.

Optical system with a frustrated isotropic block

Номер патента: US20170292860A1. Автор: Zhiqiang Liu,Eric Peter Goodwin,Yuki Terui. Владелец: Nikon Research Corp of America. Дата публикации: 2017-10-12.

Methods and systems for compact, small spot size soft x-ray scatterometry

Номер патента: WO2022132521A1. Автор: David Y. Wang,Michael Friedmann,Kerstin PURRUCKER. Владелец: KLA Corporation. Дата публикации: 2022-06-23.

Methods and systems for compact, small spot size soft x-ray scatterometry

Номер патента: US12013355B2. Автор: David Y. Wang,Michael Friedmann,Kerstin PURRUCKER. Владелец: KLA Corp. Дата публикации: 2024-06-18.

System and method for characterizing three-dimensional structures

Номер патента: WO2004046655A9. Автор: Mehrdad Nikoohahad. Владелец: Mehrdad Nikoohahad. Дата публикации: 2004-10-28.

Scanning scatterometry overlay measurement

Номер патента: EP4251978A1. Автор: Amnon Manassen,Andrew V. Hill. Владелец: KLA Corp. Дата публикации: 2023-10-04.

Strontium tetraborate as optical glass material

Номер патента: WO2021007263A1. Автор: Yung-Ho Alex Chuang,John Fielden,Yingying Li,Elena Loginova. Владелец: KLA Corporation. Дата публикации: 2021-01-14.

Interface-based thin film metrology using second harmonic generation

Номер патента: US20240176206A1. Автор: Xi Chen,TIANHAO Zhang,Qiang Zhao,Ming Di,Shova Subedi. Владелец: KLA Corp. Дата публикации: 2024-05-30.

Protective coating for nonlinear optical crystal

Номер патента: WO2023009684A1. Автор: Yung-Ho Alex Chuang,John Fielden. Владелец: KLA Corporation. Дата публикации: 2023-02-02.

Protective coating for nonlinear optical crystal

Номер патента: US12072606B2. Автор: Yung-Ho Alex Chuang,John Fielden. Владелец: KLA Corp. Дата публикации: 2024-08-27.

Discharge Detector

Номер патента: US20230358800A1. Автор: Atsushi Miyamoto. Владелец: Nitto Kogyo Corp. Дата публикации: 2023-11-09.

Connection Detection Based on Cable Capacitance

Номер патента: US20210048468A1. Автор: Michael Biggs,Alexander A. Kazerani,Samy Kamkar. Владелец: Openpath Security Inc. Дата публикации: 2021-02-18.

Single grab overlay measurement of tall targets

Номер патента: US12066322B2. Автор: Amnon Manassen,Andrew V. Hill,Avner Safrani,Yonatan Vaknin. Владелец: KLA Corp. Дата публикации: 2024-08-20.

In-situ monitoring of substrate surfaces

Номер патента: US20220349850A1. Автор: Shantinath Ghongadi,Douglas Hill,Manish Ranjan,Andrew James PFAU,Douglas Koeller,Burton Williams. Владелец: Lam Research Corp. Дата публикации: 2022-11-03.

Battery system

Номер патента: US11881567B2. Автор: Yasumasa Oguma,Masatsugu KAWAKAMI. Владелец: Toyota Motor Corp. Дата публикации: 2024-01-23.

Battery system

Номер патента: US20240113347A1. Автор: Yasumasa Oguma,Masatsugu KAWAKAMI. Владелец: Toyota Motor Corp. Дата публикации: 2024-04-04.

Laser emission control method, drive circuit, and lidar

Номер патента: US20230288542A1. Автор: XIN ZHAO,Shaoqing Xiang,Jianfeng Liu,Yuntian BI. Владелец: Hesai Technology Co Ltd. Дата публикации: 2023-09-14.

Distributed acoustic sensor applications

Номер патента: GB2583712A. Автор: Bishop Luke,Wyles Simon. Владелец: ELECTRICITY DISTRIB SERVICES Ltd. Дата публикации: 2020-11-11.

System and method for characterizing three-dimensional structures

Номер патента: WO2004046655A2. Автор: Mehrdad Nikoohahad. Владелец: Mehrdad Nikoohahad. Дата публикации: 2004-06-03.

Dopant metrology with information feedforward and feedback

Номер патента: US8962351B1. Автор: Lena Nicolaides,Bin-Ming Benjamin Tsai,Alex Salnik. Владелец: KLA Tencor Corp. Дата публикации: 2015-02-24.

Systems and methods for non-flammable indication of incendivity

Номер патента: US20190072535A1. Автор: Eddie Kwon,Jason Scott Damazo,Andrew Michael Robb. Владелец: Boeing Co. Дата публикации: 2019-03-07.

Voltage and temperature compensated frequency converter

Номер патента: US20140159776A1. Автор: Tomer ELRAN. Владелец: SanDisk Technologies LLC. Дата публикации: 2014-06-12.

Discharge Detection System

Номер патента: US20240258788A1. Автор: Atsushi Miyamoto. Владелец: Nitto Kogyo Corp. Дата публикации: 2024-08-01.

Metrology target for scanning metrology

Номер патента: EP3984058A1. Автор: YOEL Feler,Vladimir Levinski,Amnon Manassen,Mark Ghinovker,Gilad Laredo,Andrew. V HILL. Владелец: KLA Corp. Дата публикации: 2022-04-20.

Spectrometric metrology of workpieces using a permanent window as a spectral reference

Номер патента: US20100106444A1. Автор: Edward W. Budiarto,Edgar Genio. Владелец: Applied Materials Inc. Дата публикации: 2010-04-29.

Conveyor inspection system, substrate rotator, and test system having the same

Номер патента: US20210096169A1. Автор: Asaf Schlezinger,Markus J. STOPPER. Владелец: Applied Materials Inc. Дата публикации: 2021-04-01.

Coupled spot-size-converter arrays for wafer-level optical metrology

Номер патента: US20150121685A1. Автор: Thomas William Clinton,Juraj Topolancik. Владелец: HGST NETHERLANDS BV. Дата публикации: 2015-05-07.

Systems and methods for optical metrology

Номер патента: US20230296436A1. Автор: Gilad Barak,Yonatan OREN,Eyal Hollander,Elad Schleifer. Владелец: Nova Ltd. Дата публикации: 2023-09-21.

Universal metrology model

Номер патента: US20230384237A1. Автор: Vladimir Levinski,Amnon Manassen,Nireekshan K. Reddy. Владелец: KLA Corp. Дата публикации: 2023-11-30.

Debris removal from high aspect structures

Номер патента: EP3272432A3. Автор: Kenneth Gilbert Roessler,Tod Evan Robinson,Bernabe J. Arruza,David Brinkley,Jeffrey E. Leclaire. Владелец: Rave LLC. Дата публикации: 2018-04-25.

Wave-front aberration metrology of extreme ultraviolet mask inspection systems

Номер патента: EP3973355A1. Автор: Rui-Fang Shi,Qiang Zhang,Dmitriy ZUSIN. Владелец: KLA Corp. Дата публикации: 2022-03-30.

Strontium tetraborate as optical glass material

Номер патента: US20220136981A1. Автор: Yung-Ho Alex Chuang,John Fielden,Yinying Xiao-Li,Elena Loginova. Владелец: KLA Corp. Дата публикации: 2022-05-05.

Purged viewport for quartz dome in epitaxy reactor

Номер патента: US20200105554A1. Автор: Schubert Chu,Brian H. Burrows,Ji-Dih Hu,Janardhan DEVRAJAN. Владелец: Applied Materials Inc. Дата публикации: 2020-04-02.

Systems for parsing material properties from within SHG signals

Номер патента: US11988611B2. Автор: Jianing SHI,John Paul Changala,Viktor Koldiaev,Marc Christopher Kryger. Владелец: Femtometrics Inc. Дата публикации: 2024-05-21.

Universal metrology model

Номер патента: WO2023235165A1. Автор: Vladimir Levinski,Amnon Manassen,Nireekshan K. Reddy. Владелец: KLA Corporation. Дата публикации: 2023-12-07.

Interface-based thin film metrology using second harmonic generation

Номер патента: WO2024118186A1. Автор: Xi Chen,TIANHAO Zhang,Qiang Zhao,Ming Di,Shova Subedi. Владелец: KLA Corporation. Дата публикации: 2024-06-06.

Apparatus for displaying the remaining charge of rechargeable battery

Номер патента: CA1322574C. Автор: Yoshiharu Tamura. Владелец: NEC Corp. Дата публикации: 1993-09-28.

Device for accurate detection of remaining discharge capacities of a plurality of batteries

Номер патента: US5739671A. Автор: Ken Hamada. Владелец: NipponDenso Co Ltd. Дата публикации: 1998-04-14.

Voltage and temperature compensated frequency converter

Номер патента: EP2932280A1. Автор: Tomer ELRAN. Владелец: SanDisk Technologies LLC. Дата публикации: 2015-10-21.

Detection and location of partial discharge and arc faults

Номер патента: WO2024086498A1. Автор: Thomas Linn,Colin Brown,Paul Donegan,Fraser COOK. Владелец: Qualitrol Company Llc. Дата публикации: 2024-04-25.

Discharge voltage graph prediction method and battery system using the same

Номер патента: US20230314516A1. Автор: Cheoltaek Kim,Sun Jong Lee. Владелец: LG Energy Solution Ltd. Дата публикации: 2023-10-05.

Partial discharge detection device and partial discharge detection method

Номер патента: EP3896467A1. Автор: Mitsuyasu Kido,Shoji Yoshida,Tatsuya Maruyama,Kazuhisa Takami. Владелец: HITACHI LTD. Дата публикации: 2021-10-20.

Detection and location of partial discharge and arc faults

Номер патента: US20240133936A1. Автор: Thomas Linn,Colin Brown,Paul Donegan,Fraser COOK. Владелец: Qualitrol Co LLC. Дата публикации: 2024-04-25.

Systems and methods for non-flammable indication of incendivity

Номер патента: US20180024105A1. Автор: Eddie Kwon,Jason Scott Damazo,Andrew Michael Robb. Владелец: Boeing Co. Дата публикации: 2018-01-25.

Discharge voltage graph prediction method and battery system using same

Номер патента: EP4194868A1. Автор: Cheoltaek Kim,Sun Jong Lee. Владелец: LG Energy Solution Ltd. Дата публикации: 2023-06-14.

Scanning focal length metrology

Номер патента: US8259296B1. Автор: Martin Ebert,Richard A. Yarussi. Владелец: Nanometrics Inc. Дата публикации: 2012-09-04.

Probe beam profile modulated optical reflectance system and methods

Номер патента: US20080036998A1. Автор: Lena Nicolaides,Jon Opsal,Alex Salnik. Владелец: KLA Tencor Technologies Corp. Дата публикации: 2008-02-14.

Homogenization of light beam for spectral feature metrology

Номер патента: US10288484B2. Автор: Thomas Patrick Duffey,Zhongquan Zhao,Brian Edward King. Владелец: Cymer LLC. Дата публикации: 2019-05-14.

Multi-directional overlay metrology using multiple illumination paramters and isolated imaging

Номер патента: WO2023196359A1. Автор: Andrew Hill,Amnon Manassen,Yonatan Vaknin. Владелец: KLA Corporation. Дата публикации: 2023-10-12.

Multi-directional overlay metrology using multiple illumination parameters and isolated imaging

Номер патента: US11800212B1. Автор: Amnon Manassen,Andrew V. Hill,Yonatan Vaknin. Владелец: KLA Corp. Дата публикации: 2023-10-24.

Multi-directional overlay metrology using multiple illumination parameters and isolated imaging

Номер патента: US20230328351A1. Автор: Amnon Manassen,Andrew V. Hill,Yonatan Vaknin. Владелец: KLA Corp. Дата публикации: 2023-10-12.

Single grab overlay measurement of tall targets

Номер патента: US20240159585A1. Автор: Amnon Manassen,Andrew V. Hill,Avner Safrani,Yonatan Vaknin. Владелец: KLA Corp. Дата публикации: 2024-05-16.

Single grab overlay measurement of tall targets

Номер патента: WO2024107363A1. Автор: Amnon Manassen,Andrew V. Hill,Avner Safrani,Yonatan Vaknin. Владелец: KLA Corporation. Дата публикации: 2024-05-23.

Rotated boundaries of stops and targets

Номер патента: WO2015200315A1. Автор: Alexander Svizher,Tzahi Grunzweig. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2015-12-30.

Spectrometric metrology of workpieces using a permanent window as a spectral reference

Номер патента: US8027031B2. Автор: Edward W. Budiarto,Edgar Genio. Владелец: Applied Materials Inc. Дата публикации: 2011-09-27.

Dynamic display calibration based on eye-tracking

Номер патента: CA3004271C. Автор: Samuel A. Miller,Ivan L. Yeoh,Lionel E. Edwin. Владелец: Magic Leap Inc. Дата публикации: 2024-04-09.

Light field display metrology

Номер патента: CA3004278C. Автор: Samuel A. Miller,Ivan L. Yeoh,Lionel E. Edwin. Владелец: Magic Leap Inc. Дата публикации: 2024-04-09.

Light field display metrology

Номер патента: US11898836B2. Автор: Samuel A. Miller,Ivan Li Chuen YEOH,Lionel Ernest Edwin. Владелец: Magic Leap Inc. Дата публикации: 2024-02-13.

Light field display metrology

Номер патента: US20240142224A1. Автор: Samuel A. Miller,Ivan Li Chuen YEOH,Lionel Ernest Edwin. Владелец: Magic Leap Inc. Дата публикации: 2024-05-02.

Ic fabrication flow with dynamic sampling for measurement

Номер патента: US20230366832A1. Автор: Pietro Foglietti,Jonas Hoehenberger,Moritz Steinberg,Alexander Sirch. Владелец: Texas Instruments Inc. Дата публикации: 2023-11-16.

Spectrometric metrology of workpieces using a permanent window as a spectral reference

Номер патента: US20110130995A1. Автор: Edward W. Budiarto,Edgar Genio. Владелец: Applied Materials Inc. Дата публикации: 2011-06-02.

Ozone generator device for internal combustion engine

Номер патента: WO2018158688A1. Автор: Roberto FABIANO,Emanuele SPINA. Владелец: Innovation Green Technology Srl. Дата публикации: 2018-09-07.

External system for robotic accuracy enhancement

Номер патента: CA2732917C. Автор: Myles Daniel Markey,Michael John Kleemann,Brett Alan Bordyn. Владелец: Variation Reduction Solutions Inc. Дата публикации: 2017-03-14.

On-demand in-line-blending and supply of chemicals

Номер патента: US11839860B2. Автор: Karl Urquhart,Darren WILLEY,Joseph WATTERS,Christopher DONELSON. Владелец: Diversified Fluid Solutions LLC. Дата публикации: 2023-12-12.

A method of using a robotic arm to position a part

Номер патента: GB2620778A. Автор: CHEETHAM Simon,James Middleton Daniel. Владелец: BAE SYSTEMS plc. Дата публикации: 2024-01-24.

Combination of inline metrology and on tool metrology for advanced packaging

Номер патента: WO2024129253A1. Автор: Ulrich Mueller. Владелец: Applied Materials, Inc.. Дата публикации: 2024-06-20.

Extra tall target metrology

Номер патента: EP4423456A1. Автор: Yoram Uziel,Ohad Bachar,Amnon Manassen,Alexander Novikov,Yoav GRAUER,Ariel Hildesheim,Etay Lavert. Владелец: KLA Corp. Дата публикации: 2024-09-04.

Charged-particle beam system

Номер патента: US7329881B2. Автор: Osamu Wakimoto. Владелец: Jeol Ltd. Дата публикации: 2008-02-12.

Charged-particle beam system

Номер патента: US20050211681A1. Автор: Osamu Wakimoto. Владелец: Jeol Ltd. Дата публикации: 2005-09-29.

Detection circuit and system for detecting detector removal failure

Номер патента: US20240304077A1. Автор: Juan FENG,Zhanlin YANG,Junling Shao. Владелец: Gulf Security Technology Co Ltd. Дата публикации: 2024-09-12.

Quantum devices and memory structures for quantum metrology

Номер патента: US20240354617A1. Автор: Elad Mentovich,Itshak Kalifa. Владелец: MELLANOX TECHNOLOGIES LTD. Дата публикации: 2024-10-24.

System and method for determining target feature focus in image-based overlay metrology

Номер патента: EP4200599A1. Автор: Amnon Manassen,Avner Safrani,Yossi Simon,Etay Lavert,Dimitry Sanko. Владелец: KLA Corp. Дата публикации: 2023-06-28.

Scatterometry metrology target design optimization

Номер патента: EP2386114A2. Автор: Daniel Kandel,Amnon Manassen,Michael E. Adel. Владелец: KLA Tencor Corp. Дата публикации: 2011-11-16.

Scatterometry metrology target design optimization

Номер патента: WO2010080732A2. Автор: Daniel Kandel,Amnon Manassen,Michael E. Adel. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2010-07-15.

Program-controlled process

Номер патента: EP1899774A1. Автор: Roger Holden,Mark Derren Summers,Brett Jason Green. Владелец: Airbus Operations Ltd. Дата публикации: 2008-03-19.

Electrophotographic image forming apparatus and electrophotographic image forming method

Номер патента: US20180017902A1. Автор: Toshiki Hayami. Владелец: KONICA MINOLTA INC. Дата публикации: 2018-01-18.

Analysis method, program for performing the method, and information processing apparatus

Номер патента: US20090070082A1. Автор: Toyoshige Sasaki,Takuma Onishi,Ko Yoneda. Владелец: Canon Inc. Дата публикации: 2009-03-12.

Analysis method, program for performing the method, and information processing apparatus

Номер патента: US20050276616A1. Автор: Toyoshige Sasaki,Takuma Onishi,Ko Yoneda. Владелец: Canon Inc. Дата публикации: 2005-12-15.

Optical component array substitution for metrology

Номер патента: WO2024188601A1. Автор: Zili ZHOU,Roxana REZVANI NARAGHI,Raul Andres GUEVARA TORRES,Gregory Warren JENKINS. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-09-19.

Constant current source, ramp voltage generation circuit, and a/d converter

Номер патента: US20090079603A1. Автор: Masahiko Maruyama. Владелец: Sharp Corp. Дата публикации: 2009-03-26.

Image control in a metrology/inspection positioning system

Номер патента: US7289215B2. Автор: Richard A. Yarussi,John D. Heaton,Robert Buchanan,Blaine R. Spady. Владелец: Nanometrics Inc. Дата публикации: 2007-10-30.

Extra tall target metrology

Номер патента: US20230324809A1. Автор: Yoram Uziel,Ohad Bachar,Amnon Manassen,Alexander Novikov,Yoav GRAUER,Ariel Hildesheim,Etay Lavert. Владелец: KLA Corporation. Дата публикации: 2023-10-12.

Extra tall target metrology

Номер патента: WO2023196133A1. Автор: Yoram Uziel,Ohad Bachar,Amnon Manassen,Alexander Novikov,Yoav GRAUER,Ariel Hildesheim,Etay Lavert. Владелец: KLA Corporation. Дата публикации: 2023-10-12.

On tool metrology scheme for advanced packaging

Номер патента: WO2024063968A1. Автор: Ulrich Mueller. Владелец: Applied Materials, Inc.. Дата публикации: 2024-03-28.

Image forming apparatus

Номер патента: US20220390877A1. Автор: Takashi Kase,Ryosuke Imaizumi. Владелец: Canon Inc. Дата публикации: 2022-12-08.

Electrophotographic image forming apparatus and electrophotographic image forming method

Номер патента: US10042284B2. Автор: Toshiki Hayami. Владелец: KONICA MINOLTA INC. Дата публикации: 2018-08-07.

Combination of inline metrology and on tool metrology for advanced packaging

Номер патента: US20240201605A1. Автор: Ulrich Mueller. Владелец: Applied Materials Inc. Дата публикации: 2024-06-20.

Method of designing an alignment mark

Номер патента: WO2021052695A1. Автор: Hua Li,Jigang Ma. Владелец: ASML Netherlands B.V.. Дата публикации: 2021-03-25.

Integrator topology for continuous integration

Номер патента: US20020149413A1. Автор: Timothy Denison. Владелец: Harvard College. Дата публикации: 2002-10-17.

System and method to improve emergency response time

Номер патента: US20200380835A1. Автор: Tina Najibi,Christoffer Simpson,Mark Kadoshnikov,Charlton Buck,Danielle Galimidi. Владелец: Siemens Industry Inc. Дата публикации: 2020-12-03.

Pixel driving circuit and pixel driving method

Номер патента: US20060125412A1. Автор: Li-Wei Shih. Владелец: AU OPTRONICS CORP. Дата публикации: 2006-06-15.

Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates

Номер патента: EP3853885A1. Автор: Arpit YATI. Владелец: KLA Corp. Дата публикации: 2021-07-28.

Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates

Номер патента: WO2020076544A1. Автор: Arpit YATI. Владелец: KLA Corporation. Дата публикации: 2020-04-16.

Sub micron particle detection on burl tops by applying a variable voltage to an oxidized wafer

Номер патента: US20230314962A1. Автор: Tammo Uitterdijk. Владелец: Asml Holding Nv. Дата публикации: 2023-10-05.

System and method for optimizing through silicon via overlay

Номер патента: EP4324022A1. Автор: Mark D Smith,Franz Zach,Roel Gronheid. Владелец: KLA Corp. Дата публикации: 2024-02-21.

Method and apparatus for inspection focus measurement

Номер патента: WO2024099740A1. Автор: Raul Andres GUEVARA TORRES,Gregory Warren JENKINS. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-05-16.

Misregistration metrology by using fringe moiré and optical moiré effects

Номер патента: EP4150294A1. Автор: YOEL Feler,Vladimir Levinski,Alexander Svizher,Mark Ghinovker,Evgeni GUREVICH. Владелец: KLA Corp. Дата публикации: 2023-03-22.

Digital information reproducing apparatus

Номер патента: US5377054A. Автор: Takeshi Yamaguchi,Hiroshi Fuji. Владелец: Sharp Corp. Дата публикации: 1994-12-27.

Imaging system with collecting device for fuser and controller for imaging system

Номер патента: US11880149B2. Автор: Takashi Nakazawa,Takuya Ito,Yuji Aoshima. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2024-01-23.

Display device and electronic apparatus

Номер патента: US20200226979A1. Автор: Takeshi Koshihara. Владелец: Seiko Epson Corp. Дата публикации: 2020-07-16.

Toner refill cartridge indicating whether toner has been discharged

Номер патента: EP4363931A1. Автор: Woongyong Choi,Seungbin Ahn,Shinhyup Kang. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2024-05-08.

Method and system for detecting and/or quantifying manufacturing inaccuracies

Номер патента: WO2023203025A1. Автор: Valentin DUBOIS,Shyamprasad Natarajan RAJA. Владелец: Raja Shyamprasad Natarajan. Дата публикации: 2023-10-26.

Noise correction for alignment signal

Номер патента: US20220066334A1. Автор: Krishanu SHOME,Igor Matheus Petronella Aarts,Zahrasadat DASTOURI,Greger Göte ANDERSSON. Владелец: Asml Holding Nv. Дата публикации: 2022-03-03.

Measurement recipe optimization based on probabilistic domain knowledge and physical realization

Номер патента: EP4066172A1. Автор: Wei Lu,Stilian PANDEV,Dzmitry Sanko. Владелец: KLA Corp. Дата публикации: 2022-10-05.

Measurement recipe optimization based on probabilistic domain knowledge and physical realization

Номер патента: WO2021113063A1. Автор: Wei Lu,Stilian PANDEV,Dzmitry Sanko. Владелец: KLA Corporation. Дата публикации: 2021-06-10.

Glass mirror substrates for non-specular reflection

Номер патента: WO2024092243A1. Автор: Brandon Chalifoux. Владелец: Arizona Board of Regents on Behalf of the University of Arizona. Дата публикации: 2024-05-02.

Reference image grouping in overlay metrology

Номер патента: US11861824B1. Автор: Yuval LAMHOT,Einat PELED,Naama Cohen. Владелец: KLA Corp. Дата публикации: 2024-01-02.

Metrological digital audio reconstruction

Номер патента: US20050002319A1. Автор: Vitaliy Fadeyev,Carl Haber. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2005-01-06.

Combined photothermal and ocd for semi-opaque structures

Номер патента: WO2023180906A1. Автор: Gilad Barak,Yonatan OREN,Asaf ILOVITSH. Владелец: NOVA LTD.. Дата публикации: 2023-09-28.

Method for analyzing defects of a structured component

Номер патента: US20240103384A1. Автор: Thomas Zeuner,Jan Tusch,Kay Dornbusch. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2024-03-28.

<smallcaps/>? ? ?reference image grouping in overlay metrology

Номер патента: EP4384876A1. Автор: Yuval LAMHOT,Einat PELED,Naama Cohen. Владелец: KLA Corp. Дата публикации: 2024-06-19.

Charging system for electric cars

Номер патента: RU2674914C1. Автор: Штефан ГЁЦ,Мануэль ГРОСС. Владелец: Др. Инж. х.к. Ф. Порше Акциенгезелльшафт. Дата публикации: 2018-12-13.

Print data generation device, print data generation method, and print data generation program

Номер патента: US20120200627A1. Автор: Shinichi Arazaki. Владелец: Seiko Epson Corp. Дата публикации: 2012-08-09.

Print data generation device, print data generation method, and print data generation program

Номер патента: US8752928B2. Автор: Shinichi Arazaki. Владелец: Seiko Epson Corp. Дата публикации: 2014-06-17.

Inkjet textile printing device and inkjet textile printing method

Номер патента: US20200269566A1. Автор: Jumpei Hobo. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2020-08-27.

Liquid discharging apparatus

Номер патента: US12005710B2. Автор: Nobumasa Tanaka,Takafumi Nakase,Masayoshi Hayashi,Ryuji HORATA. Владелец: Brother Industries Ltd. Дата публикации: 2024-06-11.

Liquid discharging apparatus

Номер патента: US20230089979A1. Автор: Nobumasa Tanaka,Takafumi Nakase,Masayoshi Hayashi,Ryuji HORATA. Владелец: Brother Industries Ltd. Дата публикации: 2023-03-23.

Liquid discharge apparatus and method of discharging liquid

Номер патента: US12059890B2. Автор: Shinichiroh HIRABAYASHI. Владелец: Ricoh Co Ltd. Дата публикации: 2024-08-13.

Discharge testing device

Номер патента: US20120236063A1. Автор: Yoshio Nakazawa. Владелец: Seiko Epson Corp. Дата публикации: 2012-09-20.

Liquid discharge device

Номер патента: US20200147975A1. Автор: Kenta Horade. Владелец: Brother Industries Ltd. Дата публикации: 2020-05-14.

Liquid discharge device

Номер патента: US20190105911A1. Автор: Kenta Horade. Владелец: Brother Industries Ltd. Дата публикации: 2019-04-11.

Fault detection device

Номер патента: US20200023742A1. Автор: Shingo TAKINAI. Владелец: Denso Corp. Дата публикации: 2020-01-23.

Image forming device

Номер патента: US20110063353A1. Автор: Kazumi Kobayashi,Manabu Izumikawa,Atsushi Saitoh,Shiho Shimosaka,Shingo Shiramura,Shohgo Takeuchi. Владелец: Ricoh Co Ltd. Дата публикации: 2011-03-17.

Charge and discharge state display device

Номер патента: US20240120748A1. Автор: Takaaki Sano,Tateki HANASHIMA. Владелец: Toyota Motor Corp. Дата публикации: 2024-04-11.

Drive circuit unit, head unit, and liquid discharge apparatus

Номер патента: US20240109290A1. Автор: Yoichiro Kondo. Владелец: Seiko Epson Corp. Дата публикации: 2024-04-04.

Ion beam lithography

Номер патента: US4985634A. Автор: Gerhard Stengl,Hilton F. Glavish. Владелец: Oesterreichische Investitionskredit AG. Дата публикации: 1991-01-15.

Semiconductor device

Номер патента: US20240322815A1. Автор: Nobuyuki SHOBUDANI. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2024-09-26.

Ttl totem pole anti-simultaneous conduction circuit

Номер патента: CA1315361C. Автор: Julio R. Estrada. Владелец: Fairchild Semiconductor Corp. Дата публикации: 1993-03-30.

Номер патента: IN2015DN02463A. Автор: Jean-Marie; Boulangey,Jean-Pierre; Lorans. Владелец: Thales SA. Дата публикации: 2015-09-04.

X-ray device

Номер патента: US20210195722A1. Автор: Tomoaki URATA. Владелец: Shimadzu Corp. Дата публикации: 2021-06-24.

Protective circuit for energy-strorage device

Номер патента: WO2009099659A3. Автор: John Joseph Christopher Kopera. Владелец: Sion Power Corporation. Дата публикации: 2009-10-08.

Protective circuit for energy-strorage device

Номер патента: WO2009099659A2. Автор: John Joseph Christopher Kopera. Владелец: Sion Power Corporation. Дата публикации: 2009-08-13.

Protective circuit for energy-strorage device

Номер патента: EP2240991A2. Автор: John Joseph Christopher Kopera. Владелец: Sion Power Corp. Дата публикации: 2010-10-20.

Polarity control of electrosurgical generator

Номер патента: US8636730B2. Автор: David S. Keppel. Владелец: COVIDIEN LP. Дата публикации: 2014-01-28.

Method and system for providing a charge pump for very low voltage applications

Номер патента: WO2007146002A2. Автор: Emmanuel Racape. Владелец: ATMEL CORPORATION. Дата публикации: 2007-12-21.

Apparatus and method to achieve cpad mitigation effects

Номер патента: WO2015094559A1. Автор: Kai Xiao,Harry Muljono. Владелец: Intel Corporation. Дата публикации: 2015-06-25.

Light turn-off fade time control

Номер патента: EP4316209A1. Автор: Raymond George Janik,Russell Scott Trask,Melisa Altamirano-Ruelas. Владелец: Signify Holding BV. Дата публикации: 2024-02-07.

Control circuit and power source device

Номер патента: NL2026428A. Автор: Ono Hideyuki,Hisada Shigeru. Владелец: Shindengen Electric Mfg. Дата публикации: 2021-04-13.

Control circuit and power source device

Номер патента: NL2026428B1. Автор: Ono Hideyuki,Hisada Shigeru. Владелец: Shindengen Electric Mfg. Дата публикации: 2022-01-11.

Control circuit and power source device

Номер патента: US20220320889A1. Автор: Hideyuki Ono,Shigeru Hisada. Владелец: Shindengen Electric Manufacturing Co Ltd. Дата публикации: 2022-10-06.

Protecting circuit and integrated circuit

Номер патента: US20160336738A1. Автор: Tomokazu Kojima. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-11-17.

Light turn-off fade time control

Номер патента: US20240172345A1. Автор: Raymond George Janik,Russell Scott Trask,Melisa Altamirano-Ruelas. Владелец: Signify Holding BV. Дата публикации: 2024-05-23.

Mattress thermal management system

Номер патента: US20150313370A1. Автор: Martin B. Rawls-Meehan,Ronald Leong,Andrew Z. Gong,Jacob Michael Lalinsky. Владелец: Ascion D/b/a Reverie LLC. Дата публикации: 2015-11-05.

Protecting circuit and integrated circuit

Номер патента: WO2016186734A1. Автор: Tomokazu Kojima. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2016-11-24.

Hair dryer

Номер патента: US12004623B2. Автор: Kwangwoon Ahn,Hyunjoo OH. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-06-11.

User-side battery energy storage system and control method thereof

Номер патента: EP4387041A1. Автор: Guoqiang Chen,Suran ZHU,Yule ZHANG. Владелец: CALB Co Ltd. Дата публикации: 2024-06-19.

Transmission Fluorometer

Номер патента: US20120277555A1. Автор: William G. Paseman,Sabrina K. Paseman. Владелец: Paseman William G. Дата публикации: 2012-11-01.

Patterned wafer geometry measurements for semiconductor process controls

Номер патента: EP3117454A1. Автор: Jaydeep Sinha,Pradeep Vukkadala. Владелец: KLA Tencor Corp. Дата публикации: 2017-01-18.

Patterned wafer geometry measurements for semiconductor process controls

Номер патента: WO2015199801A1. Автор: Jaydeep Sinha,Pradeep Vukkadala. Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2015-12-30.

Ic fabrication flow with continuous dynamic sampling for auto-visual inspection

Номер патента: US20240071837A1. Автор: Lin Lin,BO Jiang,Bin Liu,Yu Chen Li,Si Si Xie,Zhi Yun Liu. Владелец: Texas Instruments Inc. Дата публикации: 2024-02-29.

Pattern Recognition with Edge Correction for Design Based Metrology

Номер патента: US20120110522A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-05-03.

METHODS AND SYSTEMS OF OBJECT BASED METROLOGY FOR ADVANCED WAFER SURFACE NANOTOPOGRAPHY

Номер патента: US20120179419A1. Автор: Chen Haiguang,Kamensky Sergey,Sinha Jaydeep K.. Владелец: . Дата публикации: 2012-07-12.

INSTRUMENTATION CIRCUIT FOR SHUNT-BASED METROLOGY MEASUREMENT

Номер патента: US20130093435A1. Автор: Van Wyk Hartman,Haddab Youcef,Dormard Jean-Jacques. Владелец: ITRON, INC.. Дата публикации: 2013-04-18.

Virtual metrology system and method applied on chemical vapor deposition process

Номер патента: TWI370370B. Автор: Ching Shun Chen,Chun I Chu,Tze Chin Chou. Владелец: Ind Tech Res Inst. Дата публикации: 2012-08-11.

Structure of air discharging base of fan

Номер патента: TWM388574U. Автор: Yue-Peng Hong. Владелец: Yue-Peng Hong. Дата публикации: 2010-09-11.

MAGNIFYING IMAGING OPTICAL SYSTEM AND METROLOGY SYSTEM WITH AN IMAGING OPTICAL SYSTEM OF THIS TYPE

Номер патента: US20120127566A1. Автор: . Владелец: . Дата публикации: 2012-05-24.

Dielectric barrier discharge based solid sample denudation method and device thereof

Номер патента: CN102519917A. Автор: 杨萌,张新荣,邢志,张四纯. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2012-06-27.

Dielectric barrier discharge based solid sample denudation method and device thereof

Номер патента: CN102519917B. Автор: 杨萌,张新荣,邢志,张四纯. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2014-03-12.

Heat-resisting wear-resisting steel and coke discharging base plate of dry-cleaning coke machine adopting same

Номер патента: CN103436815B. Автор: 郭玉宝. Владелец: Individual. Дата публикации: 2015-02-18.

Numerical control machine tool for full-automatic feeding and discharging based on manipulator

Номер патента: CN218193971U. Автор: 张正志. Владелец: Suji Intelligent Equipment Jiangsu Co ltd. Дата публикации: 2023-01-03.

Cambered surface electrode discharging-based laser-induced breakdown spectroscopy detection system

Номер патента: CN103712959A. Автор: 王哲,李政,袁廷璧,侯宗余. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2014-04-09.

Water guiding tube structure of water discharge base of water dispenser

Номер патента: TWM321987U. Автор: Jeng-Cheng Lai. Владелец: Yea Leng Drinking Fountain Co. Дата публикации: 2007-11-11.

Metrology Systems and Methods for Lithography Processes

Номер патента: US20120013884A1. Автор: Sarma Chandrasekhar,Lian Jingyu,Lipinski Matthias,Zhuang Haoren. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-01-19.

CD METROLOGY SYSTEM AND METHOD OF CLASSIFYING SIMILAR STRUCTURAL ELEMENTS

Номер патента: US20120076393A1. Автор: IVANCHENKO Yan,COSTA Adi. Владелец: . Дата публикации: 2012-03-29.

LASER CONFOCAL SENSOR METROLOGY SYSTEM

Номер патента: US20120133957A1. Автор: Widman Michael F.,Enns John B.,Powell P. Mark,Sites Peter W.,Wildsmith Christopher. Владелец: . Дата публикации: 2012-05-31.

LASER CONFOCAL SENSOR METROLOGY SYSTEM

Номер патента: US20120133958A1. Автор: Widman Michael F.,Enns John B.,Powell P. Mark,Sites Peter W.,Wildsmith Christopher. Владелец: . Дата публикации: 2012-05-31.

MAGNIFYING IMAGING OPTICAL UNIT AND METROLOGY SYSTEM INCLUDING SAME

Номер патента: US20120140351A1. Автор: . Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2012-06-07.

MAGNIFYING IMAGING OPTICAL UNIT AND METROLOGY SYSTEM INCLUDING SAME

Номер патента: US20120140454A1. Автор: . Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2012-06-07.

TARGET FOR LARGE SCALE METROLOGY SYSTEM

Номер патента: US20120186059A1. Автор: Goodwin Eric Peter,Smith Daniel Gene. Владелец: . Дата публикации: 2012-07-26.

Coarse and fine projective optical metrology system

Номер патента: US20120261513A1. Автор: Bresciani Fulvio,Musso Fabio. Владелец: Thales Alenia Space Italia S.p.A. Con Unico Socio. Дата публикации: 2012-10-18.

METHODS UTILIZING TRIANGULATION IN METROLOGY SYSTEMS FOR IN-SITU SURGICAL APPLICATIONS

Номер патента: US20120293812A1. Автор: . Владелец: . Дата публикации: 2012-11-22.

Semiconductor Inspection And Metrology System Using Laser Pulse Multiplier

Номер патента: US20120314286A1. Автор: . Владелец: KLA-TENCOR CORPORATION. Дата публикации: 2012-12-13.

STROBOSCOPIC LIGHT SOURCE FOR A TRANSMITTER OF A LARGE SCALE METROLOGY SYSTEM

Номер патента: US20130003042A1. Автор: Sogard Michael. Владелец: . Дата публикации: 2013-01-03.

Metrology system and method applied to an interferometer for remotely analysing a gaseous compound

Номер патента: US20130044327A1. Автор: GAUCEL Jean-Michel,MIRAS Didier. Владелец: THALES. Дата публикации: 2013-02-21.

POINT SIZE LIGHT ILLUMINATION IN METROLOGY SYSTEMS FOR IN-SITU SURGICAL APPLICATIONS

Номер патента: US20130110005A1. Автор: Sharonov Alexey. Владелец: COVIDIEN LP. Дата публикации: 2013-05-02.

COLLIMATED BEAM METROLOGY SYSTEMS FOR IN-SITU SURGICAL APPLICATIONS

Номер патента: US20130110006A1. Автор: Sharonov Alexey,Pinto Candido Dionisio. Владелец: COVIDIEN LP. Дата публикации: 2013-05-02.

METHOD OF ENHANCING AN OPTICAL METROLOGY SYSTEM USING RAY TRACING AND FLEXIBLE RAY LIBRARIES

Номер патента: US20130151211A1. Автор: Li Shifang. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-06-13.

METHOD OF REGENERATING DIFFRACTION SIGNALS FOR OPTICAL METROLOGY SYSTEMS

Номер патента: US20130151440A1. Автор: Li Shifang. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-06-13.

IMAGE FORMING APPARATUS AND METHOD FOR MAINTAINING HEAD

Номер патента: US20120001976A1. Автор: . Владелец: RICOH COMPANY, LTD.. Дата публикации: 2012-01-05.

Laser System

Номер патента: US20120002687A1. Автор: . Владелец: CYMER, INC.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

IMAGE HEATING APPARATUS

Номер патента: US20120000897A1. Автор: . Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

Intelligent storage cabinet

Номер патента: MY189844A. Автор: Zengcheng He,bin quan Lin,Wenwei Fei. Владелец: Zhongshan Torme Electrical Appliance Co Ltd. Дата публикации: 2022-03-11.