Clock gating circuit

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

CLOCK GATING CIRCUIT

Номер патента: US20180157616A1. Автор: Yun Jaegeun,Jeong Bub-chul,LIAO Lingling. Владелец: . Дата публикации: 2018-06-07.

Early wake-warn for clock gating control

Номер патента: US20150095688A1. Автор: Suresh Sugumar,Rahul Pal,Sridhar Muthrasanallur,Mahesh K. Kumashikar. Владелец: Intel Corp. Дата публикации: 2015-04-02.

Neural processor, neural processing device and clock gating method thereof

Номер патента: US20240329683A1. Автор: Hongyun Kim. Владелец: Rebellions Inc. Дата публикации: 2024-10-03.

Clock gating using a delay circuit

Номер патента: EP3329341A1. Автор: Fadi Adel Hamdan. Владелец: Qualcomm Inc. Дата публикации: 2018-06-06.

Clock gating using a delay circuit

Номер патента: WO2017019219A1. Автор: Fadi Adel Hamdan. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2017-02-02.

Clock gating using a delay circuit

Номер патента: US09837995B2. Автор: Fadi Adel Hamdan. Владелец: Qualcomm Inc. Дата публикации: 2017-12-05.

Keeper-free integrated clock gate circuit

Номер патента: US11927982B2. Автор: Gururaj K. Shamanna,Naveen KUMAR M,Madhusudan Rao,Harishankar Sahu,Abhishek Chouksey. Владелец: Intel Corp. Дата публикации: 2024-03-12.

Integrated circuit with multi-bit clock gating cells

Номер патента: US09838013B2. Автор: Peidong Wang,Miaolin Tan,Zhe Ge,Huabin Du. Владелец: NXP USA Inc. Дата публикации: 2017-12-05.

Clock gating circuit and bus system

Номер патента: US9298210B2. Автор: Sumie Aoki. Владелец: Sony Corp. Дата публикации: 2016-03-29.

Clock gating cells

Номер патента: US12081214B2. Автор: Shih-Yun Lin,Kin-Hooi DIA,Ssu-Yen WU. Владелец: MediaTek Inc. Дата публикации: 2024-09-03.

Double data rate clock gating

Номер патента: US20130082738A1. Автор: Anatoly Gelman. Владелец: Broadcom Corp. Дата публикации: 2013-04-04.

Clock gating cells

Номер патента: US20230179206A1. Автор: Shih-Yun Lin,Kin-Hooi DIA,Ssu-Yen WU. Владелец: MediaTek Inc. Дата публикации: 2023-06-08.

Data transmission apparatus and method having clock gating mechanism

Номер патента: US12009056B2. Автор: Chih-Wei Chang,Fu-Chin Tsai,Ger-Chih Chou,Chun-Chi Yu,Shih-Han Lin. Владелец: Realtek Semiconductor Corp. Дата публикации: 2024-06-11.

Data-driven column-wise clock gating of systolic arrays

Номер патента: US11953966B1. Автор: Fan Wu,Edith DALLARD. Владелец: Meta Platforms Technologies LLC. Дата публикации: 2024-04-09.

Early wake-warn for clock gating control

Номер патента: US09552308B2. Автор: Suresh Sugumar,Rahul Pal,Sridhar Muthrasanallur,Mahesh K. Kumashikar. Владелец: Intel Corp. Дата публикации: 2017-01-24.

Command clock gate implementation with chip select signal training indication

Номер патента: US11823729B2. Автор: LIANG Chen. Владелец: Micron Technology Inc. Дата публикации: 2023-11-21.

Implementing Power Savings in HSS Clock-Gating Circuit

Номер патента: US20100156466A1. Автор: David A. Freitas. Владелец: International Business Machines Corp. Дата публикации: 2010-06-24.

Integrated Circuit Devices Having Clock Gating Circuits Therein

Номер патента: US09806695B2. Автор: Byung-Jo Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-31.

Clock gating circuit that operates at high speed

Номер патента: US09762240B2. Автор: Minsu Kim,Hyunchul Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-12.

Sat-based synthesis of a clock gating function

Номер патента: US20110093431A1. Автор: Eli Arbel,Oleg Rokhlenko,Karen Yorav. Владелец: International Business Machines Corp. Дата публикации: 2011-04-21.

Low power clock gate circuit

Номер патента: US20210064076A1. Автор: ABHISHEK Sharma,Mitesh Goyal,Gururaj Shamanna,Harishankar Sahu,Jagadeesh Salaka,Purna C. Nayak. Владелец: Intel Corp. Дата публикации: 2021-03-04.

Clock gating to reduce power consumption of control and status registers

Номер патента: US20030141901A1. Автор: Jürgen Schulz. Владелец: Sun Microsystems Inc. Дата публикации: 2003-07-31.

Systems and methods for clock gating

Номер патента: WO2023113996A1. Автор: Paul Walmsley,Edward McLellan,Arjun Pal Chowdury. Владелец: SiFive, Inc.. Дата публикации: 2023-06-22.

Proactive clock gating system to mitigate supply voltage droops

Номер патента: WO2020055700A1. Автор: Eric Wayne MAHURIN,Vijay Kiran KALYANAM. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2020-03-19.

SAT-based synthesis of a clock gating function

Номер патента: US8296256B2. Автор: Eli Arbel,Oleg Rokhlenko,Karen Yorav. Владелец: International Business Machines Corp. Дата публикации: 2012-10-23.

Proactive clock gating system to mitigate supply voltage droops

Номер патента: US20200081479A1. Автор: Eric Wayne MAHURIN,Vijay Kiran KALYANAM. Владелец: Qualcomm Inc. Дата публикации: 2020-03-12.

Fine-grained dynamic power and clock-gating control

Номер патента: US20180329471A1. Автор: Rama Venkatasubramanian,Jose Flores,Ivan Santos. Владелец: Texas Instruments Inc. Дата публикации: 2018-11-15.

Verfahren und System zum automatischen Clock-Gating eines Taktgitters bei einer Taktquelle

Номер патента: DE102013216237B4. Автор: Guillermo J. Rozas. Владелец: Nvidia Corp. Дата публикации: 2024-10-24.

Data-type-aware clock-gating

Номер патента: EP4260174A1. Автор: Thomas A. Volpe,Sundeep Amirineni,Ron Diamant,Nishith Desai,Joshua Wayne BOWMAN. Владелец: Amazon Technologies Inc. Дата публикации: 2023-10-18.

Enhanced clock gating in retimed modules

Номер патента: US20140082400A1. Автор: Colin Pearse Sprinkle. Владелец: Nvidia Corp. Дата публикации: 2014-03-20.

Clock-gating for multicycle instructions

Номер патента: US09977680B2. Автор: Stefan Payer,Cedric Lichtenau,Kerstin C. Schelm,Juergen Haess. Владелец: International Business Machines Corp. Дата публикации: 2018-05-22.

Clock-gating for multicycle instructions

Номер патента: US20180095768A1. Автор: Stefan Payer,Cedric Lichtenau,Kerstin C. Schelm,Juergen Haess. Владелец: International Business Machines Corp. Дата публикации: 2018-04-05.

Clock-gating for multicycle instructions

Номер патента: US20180095767A1. Автор: Stefan Payer,Cedric Lichtenau,Kerstin C. Schelm,Juergen Haess. Владелец: International Business Machines Corp. Дата публикации: 2018-04-05.

Apparatus and method for sensing distributed load currents provided by power gating circuit

Номер патента: WO2018097938A1. Автор: Nan Chen,Junmou Zhang,Guoan Zhong. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2018-05-31.

Apparatus and method for sensing distributed load currents provided by power gating circuit

Номер патента: EP3545317A1. Автор: Nan Chen,Junmou Zhang,Guoan Zhong. Владелец: Qualcomm Inc. Дата публикации: 2019-10-02.

Programmable power gating circuit

Номер патента: US20080001655A1. Автор: Giao Pham,Nintunze Novat. Владелец: Intel Corp. Дата публикации: 2008-01-03.

APPARATUS INCLUDING CORE AND CLOCK GATING CIRCUIT AND METHOD OF OPERATING SAME

Номер патента: US20170062075A1. Автор: Elmoalem Eli,Golan Ronen,Barber Edgar. Владелец: . Дата публикации: 2017-03-02.

Di/dt management during clock gating

Номер патента: EP4392850A1. Автор: Janardan Prasad. Владелец: Google LLC. Дата публикации: 2024-07-03.

Apparatus for low power high speed integrated clock gating cell

Номер патента: US09564897B1. Автор: Matthew Berzins,James Jung Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-07.

Di/dt management during clock gating

Номер патента: WO2024102141A1. Автор: Janardan Prasad. Владелец: Google LLC. Дата публикации: 2024-05-16.

Clock gating with an asynchronous wrapper cell

Номер патента: US09753486B2. Автор: Kenneth S. Stevens,Dipanjan Bhadra. Владелец: University of Utah Research Foundation UURF. Дата публикации: 2017-09-05.

Constructing hierarchical clock gating architectures via rewriting

Номер патента: US20240111353A1. Автор: Theo Drane,Emiliano Morini,Samuel COWARD,George A. Constantinides. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Clock-gating in die-to-die (d2d) interconnects

Номер патента: US20220262756A1. Автор: Debendra Das Sharma,Zuoguo Wu,Gerald Pasdast,Lakshmipriya Seshan,Swadesh CHOUDHARY,Narasimha LANKA. Владелец: Individual. Дата публикации: 2022-08-18.

Clock-Gating-Zelle mit niedriger Leistungsaufnahme und integrierte Schaltung mit derselben

Номер патента: DE102021129677A1. Автор: Hyunchul Hwang,Youngo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-06-02.

Multi-bit-mapping aware clock gating

Номер патента: US20180107779A1. Автор: Peter Wilhelm Josef Zepter,Wladimir Alejandro Plagges Martinez,Reiner Wilhelm Genevriere. Владелец: Synopsys Inc. Дата публикации: 2018-04-19.

Operating clock generator and reference clock gating circuit

Номер патента: US11606095B2. Автор: Shi-Yao Zhao,Dao-Fu Wang,Yong-Peng Jing. Владелец: Realtek Semiconductor Corp. Дата публикации: 2023-03-14.

Asymmetric nand gate circuit, clock gating cell and integrated circuit including the same

Номер патента: EP4366170A3. Автор: Byounggon Kang,Dalhee Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-31.

Asymmetric nand gate circuit, clock gating cell and integrated circuit including the same

Номер патента: US20240137012A1. Автор: Byounggon Kang,Dalhee Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-25.

Asymmetric nand gate circuit, clock gating cell and integrated circuit including the same

Номер патента: EP4366170A2. Автор: Byounggon Kang,Dalhee Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-08.

Asymmetric nand gate circuit, clock gating cell and integrated circuit including the same

Номер патента: US20240235533A9. Автор: Byounggon Kang,Dalhee Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

Clock gating for system-on-chip elements

Номер патента: US09571341B1. Автор: Sandip Das,Sailesh Kumar,Poonacha Kongetira. Владелец: NetSpeed Systems Inc. Дата публикации: 2017-02-14.

Low power integrated clock gating cell using controlled inverted clock

Номер патента: US20180287610A1. Автор: Matthew Berzins,James Jung Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-10-04.

Clock gating for system-on-chip elements

Номер патента: US20170103332A1. Автор: Sandip Das,Sailesh Kumar,Poonacha Kongetira. Владелец: NetSpeed Systems Inc. Дата публикации: 2017-04-13.

Clock gating for system-on-chip elements

Номер патента: US20170063618A1. Автор: Sandip Das,Sailesh Kumar,Poonacha Kongetira. Владелец: NetSpeed Systems Inc. Дата публикации: 2017-03-02.

Integrated clock gate with circuitry to facilitate clock frequency division

Номер патента: US20240007087A1. Автор: Mark Anders,Ram Krishnamurthy,Amit Agarwal,Steven Hsu,Simeon REALOV. Владелец: Intel Corp. Дата публикации: 2024-01-04.

Source synchronous bus clock gating system

Номер патента: US20150372802A1. Автор: Arun Iyer,Sudha Thiruvengadam,Gregory Sadowski. Владелец: Advanced Micro Devices Inc. Дата публикации: 2015-12-24.

Hierarchical clock gating circuit and method

Номер патента: TWI257540B. Автор: Charles F Shelor. Владелец: VIA Cyrix Inc. Дата публикации: 2006-07-01.

Mechanisms for clock gating

Номер патента: US20140009195A1. Автор: Randy B. Osborne,Erin Francom,Thomas P. Thomas,Stanley S. Kulick. Владелец: Intel Corp. Дата публикации: 2014-01-09.

PROCESSORS AND METHODS FOR CONFIGURABLE CLOCK GATING IN A SPATIAL ARRAY

Номер патента: US20190101952A1. Автор: Fleming,DIAMOND MITCHELL,KEEN BENJAMIN,JR. KERMIN E.. Владелец: . Дата публикации: 2019-04-04.

Integrated circuit with multi-bit clock gating cells

Номер патента: US20170302277A1. Автор: Peidong Wang,Miaolin Tan,Zhe Ge,Huabin Du. Владелец: NXP USA Inc. Дата публикации: 2017-10-19.

Mechanism for clock gate

Номер патента: CN103999011B. Автор: T.P.托马斯,S.S.库利克,R.B.奥斯博恩,E.弗兰孔. Владелец: Intel Corp. Дата публикации: 2018-01-16.

Memory array clock gating scheme

Номер патента: US9158328B2. Автор: Jungyong Lee,Heechoul Park,Song Kim. Владелец: Oracle International Corp. Дата публикации: 2015-10-13.

Multi-dimension clock gate design in clock tree synthesis

Номер патента: US10963618B1. Автор: ZHUO Li,William Robert Reece,Thomas Andrew Newton,Kwangsoo Han,Amin Farshidi. Владелец: Cadence Design Systems Inc. Дата публикации: 2021-03-30.

Systems and methods for performing clock gating checks

Номер патента: US20030229870A1. Автор: David Mielke,Gayvin Stong. Владелец: AGILENT TECHNOLOGIES INC. Дата публикации: 2003-12-11.

Clock gating verification during RTL stage of integrated circuit design

Номер патента: US09934342B1. Автор: Lei Ji,Song Huang,Yifeng Liu. Владелец: NXP USA Inc. Дата публикации: 2018-04-03.

Method for clock gating circuits

Номер патента: US8219946B1. Автор: Sridhar Narayanan,Sridhar Subramanian,Chaiyasit Manovit,Wanlin Cao. Владелец: Xilinx Inc. Дата публикации: 2012-07-10.

Method and system for functional verification and power analysis of clock-gated integrated circuits

Номер патента: US09639641B1. Автор: Theodore Wilson. Владелец: Microsemi Storage Solutions US Inc. Дата публикации: 2017-05-02.

Method for computing power savings and determining the preferred clock gating circuit of an integrated circuit design

Номер патента: US20090044033A1. Автор: David L. Allen. Владелец: Atrenta Inc. Дата публикации: 2009-02-12.

Method and system for functional verification and power analysis of clock-gated integrated circuits

Номер патента: US09928323B2. Автор: Theodore Wilson. Владелец: Microsemi Solutions US Inc. Дата публикации: 2018-03-27.

Identification and implementation of clock gating in the design of integrated circuits

Номер патента: US20050028118A1. Автор: Joy Banerjee,Bhanu Kapoor,Sanjay Churiwala. Владелец: Atrenta Inc. Дата публикации: 2005-02-03.

Clock gating latch placement

Номер патента: US20210073344A1. Автор: Adam Matheny,Jesse Surprise,Gerald Strevig, III,Shawn Kollesar. Владелец: International Business Machines Corp. Дата публикации: 2021-03-11.

Method and system for functional verification and power analysis of clock-gated integrated circuits

Номер патента: US20170344682A1. Автор: Theodore Wilson. Владелец: Microsemi Solutions US Inc. Дата публикации: 2017-11-30.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: US20230384374A1. Автор: Kenneth Rovers,Faizan Nazar. Владелец: Imagination Technologies Ltd. Дата публикации: 2023-11-30.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: US12061233B2. Автор: Kenneth Rovers,Faizan Nazar. Владелец: Imagination Technologies Ltd. Дата публикации: 2024-08-13.

Placement aware clock gate cloning and fanout optimization

Номер патента: US20130174104A1. Автор: Venkatraman Ramakrishnan,Ramamurthy Vishweshwara,Mahita Nagabhiru. Владелец: Texas Instruments Inc. Дата публикации: 2013-07-04.

Approximation of a clock gating function via bdd path elimination

Номер патента: US20100042569A1. Автор: Eli Arbel,Oleg Rokhlenko. Владелец: International Business Machines Corp. Дата публикации: 2010-02-18.

Identifying redundant logic based on clock gate enable condition

Номер патента: US11797747B1. Автор: Ji xU,ZHUO Li,Matthew David Eaton,George Simon Taylor,James Youren. Владелец: Cadence Design Systems Inc. Дата публикации: 2023-10-24.

Stimuli-independent clock gating determination

Номер патента: US20230110425A1. Автор: Abhinav PARASHAR,Harish Maruthiyodan. Владелец: Texas Instruments Inc. Дата публикации: 2023-04-13.

Hybrid clock gating methodology for high performance cores

Номер патента: US20180268088A1. Автор: Kalyan Kumar Oruganti,Kailash Digari,Sandeep Nellikatte Srivatsa. Владелец: Qualcomm Inc. Дата публикации: 2018-09-20.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: EP4254193A1. Автор: Kenneth Rovers,Faizan Nazar. Владелец: Imagination Technologies Ltd. Дата публикации: 2023-10-04.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: EP4254194A1. Автор: Kenneth Rovers,Faizan Nazar. Владелец: Imagination Technologies Ltd. Дата публикации: 2023-10-04.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: US20230384375A1. Автор: Kenneth Rovers,Faizan Nazar. Владелец: Imagination Technologies Ltd. Дата публикации: 2023-11-30.

Method and apparatus to generate circuit energy models with clock gating

Номер патента: US20060190856A1. Автор: James Neely,Daniel Stasiak,Rajat Chaudhry. Владелец: International Business Machines Corp. Дата публикации: 2006-08-24.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: GB2617177A. Автор: Rovers Kenneth,Nazar Faizan. Владелец: Imagination Technologies Ltd. Дата публикации: 2023-10-04.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: GB2617176A. Автор: Rovers Kenneth,Nazar Faizan. Владелец: Imagination Technologies Ltd. Дата публикации: 2023-10-04.

Design for testability for fault detection in clock gate control circuits

Номер патента: US20240111934A1. Автор: Ripu SINGH,Paul Policke,Preston MCWITHEY. Владелец: Qualcomm Inc. Дата публикации: 2024-04-04.

Electronic device and memristor-based logic gate circuit thereof

Номер патента: US20240275386A1. Автор: Tuo Li,Fen Guo,Hongtao Man,Kang SU. Владелец: Suzhou Metabrain Intelligent Technology Co Ltd. Дата публикации: 2024-08-15.

Electronic device and memristor-based logic gate circuit thereof

Номер патента: US12113529B2. Автор: Tuo Li,Fen Guo,Hongtao Man,Kang SU. Владелец: Suzhou Wave Intelligent Technology Co Ltd. Дата публикации: 2024-10-08.

Clock gated bus keeper

Номер патента: US6484267B1. Автор: Hyun Lee,David W. Potter. Владелец: Agere Systems LLC. Дата публикации: 2002-11-19.

Transmission gating circuit

Номер патента: US3723760A. Автор: J Ebrahimi. Владелец: Bell Canada Northern Electric Research Ltd. Дата публикации: 1973-03-27.

Method for setting VR chip address based on gate circuit chip

Номер патента: CN105573950A. Автор: 李纪伟,薛广营. Владелец: Shandong Mass Institute Of Information Technology. Дата публикации: 2016-05-11.

Double half latch for clock gating

Номер патента: US09602086B2. Автор: HE Huang,Jin-Uk Shin,Ha Pham,Mayur Joshi. Владелец: Oracle International Corp. Дата публикации: 2017-03-21.

Apparatus and methods employing variable clock gating hysteresis for a communications port

Номер патента: EP2567302A1. Автор: Richard Gerard Hofmann. Владелец: Qualcomm Inc. Дата публикации: 2013-03-13.

Clock gating cell circuit

Номер патента: US20120268168A1. Автор: Alberto Scandurra,Mounir Zid. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2012-10-25.

Functional block level clock-gating within a graphics processor

Номер патента: US7802118B1. Автор: Karim M. Abdalla,Robert J. Hasslen, III. Владелец: Nvidia Corp. Дата публикации: 2010-09-21.

Apparatus and methods employing variable clock gating hysteresis for a communications port

Номер патента: EP2567302B1. Автор: Richard Gerard Hofmann. Владелец: Qualcomm Inc. Дата публикации: 2018-01-24.

Clock generator, communication device and sequential clock gating circuit

Номер патента: US20160004273A1. Автор: Liu Kai-Yin,Lin Jian-Ru,Chiang Chih-Jung,TSENG SHUN-TE. Владелец: . Дата публикации: 2016-01-07.

Clock gating circuit that operates at high speed

Номер патента: US20160373112A1. Автор: Minsu Kim,Hyunchul Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-22.

Clock gating circuit operating at high speed

Номер патента: KR102261300B1. Автор: 김민수,황현철. Владелец: 삼성전자주식회사. Дата публикации: 2021-06-09.

Implementing power savings in HSS clock-gating circuit

Номер патента: US7844843B2. Автор: David A. Freitas. Владелец: International Business Machines Corp. Дата публикации: 2010-11-30.

Techniques employing flits for clock gating

Номер патента: WO2012006557A1. Автор: Shilpa Bhoj. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2012-01-12.

Techniques employing flits for clock gating

Номер патента: EP2591572A1. Автор: Shilpa Bhoj. Владелец: Qualcomm Inc. Дата публикации: 2013-05-15.

CLOCK GATING ENABLE GENERATION

Номер патента: US20180164846A1. Автор: Zerwick Adam Andrew. Владелец: . Дата публикации: 2018-06-14.

Generate clock gating enable

Номер патента: KR102143089B1. Автор: 아담 앤드류 제르윅. Владелец: 퀄컴 인코포레이티드. Дата публикации: 2020-08-10.

Two level clock gating

Номер патента: US20030149905A1. Автор: Sribalan Santhanam,Vincent von Kaenel,David Kruckemyer. Владелец: Broadcom Corp. Дата публикации: 2003-08-07.

Clock gated pipeline stages

Номер патента: US20070074054A1. Автор: Lim Chieh. Владелец: Intel Corp. Дата публикации: 2007-03-29.

Internal clock gating apparatus

Номер патента: US8575965B2. Автор: Chung-Cheng Chou,Chi-Lin Liu,Yangsyu Lin,Hsiao Wen Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-11-05.

State machine with a dynamic clock gating function

Номер патента: US6202166B1. Автор: Chung-Wen Tang. Владелец: Integrated Tech Express Inc. Дата публикации: 2001-03-13.

Dynamic clock gating frequency scaling

Номер патента: US9698781B1. Автор: Vishram Sarurkar,Tejpal Singh,Arojit Roychowdhury,Ajaya Durg,Shilpa Huddar,Sunil Shanbhag. Владелец: Intel Corp. Дата публикации: 2017-07-04.

Low power integrated clock gating system and method

Номер патента: US10784864B1. Автор: Matthew Berzins,Shyam AGARWAL,Lalitkumar MOTAGI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-09-22.

Dynamic frequency control using coarse clock gating

Номер патента: KR101396652B1. Автор: 제임스 왕,페트릭 와이. 로우. Владелец: 애플 인크.. Дата публикации: 2014-05-19.

Clock gating unit for a transponder

Номер патента: EP3572968A1. Автор: Raghavendra Kongari,Shankar Joshi,Björn Rasmussen. Владелец: NXP BV. Дата публикации: 2019-11-27.

Clock gating enable generation

Номер патента: AU2017377949A1. Автор: Adam Andrew Zerwick. Владелец: Qualcomm Inc. Дата публикации: 2019-05-16.

Clock gating system

Номер патента: TW202105116A. Автор: 馬太 別爾津斯,里特庫瑪 莫塔奇拉,斯雅恩 亞加瓦爾. Владелец: 南韓商三星電子股份有限公司. Дата публикации: 2021-02-01.

State transitioning clock gating

Номер патента: US20110271128A1. Автор: John W. Cressman. Владелец: Intel Corp. Дата публикации: 2011-11-03.

Techniques employing flits for clock gating

Номер патента: EP2591572B1. Автор: Shilpa Bhoj. Владелец: Qualcomm Inc. Дата публикации: 2018-04-04.

Power gating circuit and electronic system including the same

Номер патента: US20150153818A1. Автор: Jae Han JEON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-06-04.

Method for switching work clock, intelligent gating circuit and system

Номер патента: CN102301357B. Автор: 余剑锋,周勇辉. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2015-03-11.

Programmable power gating circuit

Номер патента: US7385435B2. Автор: Giao Pham,Nintunze Novat. Владелец: Intel Corp. Дата публикации: 2008-06-10.

Apparatus and method for sensing distributed load currents provided by power gating circuit

Номер патента: EP3545317B1. Автор: Nan Chen,Junmou Zhang,Guoan Zhong. Владелец: Qualcomm Inc. Дата публикации: 2020-05-27.

CLOCK GATING USING A DELAY CIRCUIT

Номер патента: US20170033775A1. Автор: Hamdan Fadi Adel. Владелец: . Дата публикации: 2017-02-02.

Low power integrated clock gating cell with internal control signal

Номер патента: US09768756B2. Автор: Matthew Berzins,James Jung Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-19.

Low power integrated clock gating cell with internal control signal

Номер патента: US20170201241A1. Автор: Matthew Berzins,James Jung Lim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-13.

Clock generator, communication device and sequential clock gating circuit

Номер патента: TWI542155B. Автор: 林見儒,江致榮,曾順得,劉凱尹. Владелец: 瑞昱半導體股份有限公司. Дата публикации: 2016-07-11.

Clock generator, communication device and sequential clock gating circuit

Номер патента: US9501088B2. Автор: Chih-Jung Chiang,Jian-Ru LIN,Kai-Yin Liu,Shun-Te Tseng. Владелец: Realtek Semiconductor Corp. Дата публикации: 2016-11-22.

CLOCK GATING CIRCUIT AND BUS SYSTEM

Номер патента: US20130124907A1. Автор: Aoki Sumie. Владелец: SONY CORPORATION. Дата публикации: 2013-05-16.

Reduced Setup Time Clock Gating Circuit

Номер патента: US20180364781A1. Автор: SCHREIBER Russell. Владелец: . Дата публикации: 2018-12-20.

Clock gating circuit

Номер патента: TWI297425B. Автор: Hoon Ham Jung. Владелец: Via Tech Inc. Дата публикации: 2008-06-01.

Clock gated circuit and digital system having the same

Номер патента: KR101848042B1. Автор: 이회진,공배선. Владелец: 성균관대학교산학협력단. Дата публикации: 2018-04-11.

POWER CONSUMPTION PREDICTION METHOD FOR CLOCK GATING INTEGRATED CIRCUIT DEVICES

Номер патента: US20130151228A1. Автор: YI Joonhwan. Владелец: . Дата публикации: 2013-06-13.

ACTIVITY CORRELATION BASED OPTIMAL CLUSTERING FOR CLOCK GATING FOR ULTRA-LOW POWER VLSI

Номер патента: US20160049937A1. Автор: Tong Qiang,CHOI Kyuwon. Владелец: . Дата публикации: 2016-02-18.

METHOD OF CLOCK GATE ANALYSIS OF ELECTRONIC SYSTEM DESIGNS AND RELATED SYSTEMS, METHODS AND DEVICES

Номер патента: US20200073433A1. Автор: Aune Amund,Reitan Odd Magne,Marchuk Vitalii. Владелец: . Дата публикации: 2020-03-05.

CLOCK-GATING FOR MULTICYCLE INSTRUCTIONS

Номер патента: US20180095768A1. Автор: Haess Juergen,Lichtenau Cedric,Payer Stefan,Schelm Kerstin C.. Владелец: . Дата публикации: 2018-04-05.

CLOCK GATING FOR SYSTEM-ON-CHIP ELEMENTS

Номер патента: US20170103332A1. Автор: Kumar Sailesh,Das Sandip,Kongetira Poonacha. Владелец: . Дата публикации: 2017-04-13.

CLOCK GATING COUPLED MEMORY RETENTION CIRCUIT

Номер патента: US20180284874A1. Автор: IDGUNJI Sachin,V Ramachandiran,YUE Lordson,SUNDARARAJAN Anand Shanmugam,CHANDRATRE Abhijeet,SRINIVASAIAH Archana. Владелец: . Дата публикации: 2018-10-04.

LOW POWER INTEGRATED CLOCK GATING SYSTEM AND METHOD

Номер патента: US20200295758A1. Автор: BERZINS Matthew,AGARWAL Shyam,MOTAGI Lalitkumar. Владелец: . Дата публикации: 2020-09-17.

FINE-GRAINED DYNAMIC POWER AND CLOCK-GATING CONTROL

Номер патента: US20180329471A1. Автор: VENKATASUBRAMANIAN Rama,FLORES JOSE,SANTOS IVAN. Владелец: . Дата публикации: 2018-11-15.

CLOCK GATING WITH AN ASYNCHRONOUS WRAPPER CELL

Номер патента: US20160363955A1. Автор: Stevens Kenneth S.,Bhadra Dipanjan. Владелец: . Дата публикации: 2016-12-15.

CLOCK GATING UNIT FOR A TRANSPONDER

Номер патента: US20190361514A1. Автор: Joshi Shankar,Kongari Raghavendra,Rasmussen Björn. Владелец: . Дата публикации: 2019-11-28.

CLOCK GATE LATENCY MODELING BASED ON ANALYTICAL FRAMEWORKS

Номер патента: US20200401669A1. Автор: Gupta Naman,LU Hongda,KINI Vinayak. Владелец: . Дата публикации: 2020-12-24.

Clock gating system and method

Номер патента: WO2009135226A3. Автор: Martin Saint-Laurent,Paul Bassett,Bassam Jamil Mohd. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2010-09-10.

High-speed leaf splitter for clock gating

Номер патента: US6448835B1. Автор: Steven Michael Douskey,Bruce George Rudolph. Владелец: International Business Machines Corp. Дата публикации: 2002-09-10.

Encryption device and encryption method with clock gating unit and random-number generator

Номер патента: US20230327867A1. Автор: Kun-Yi Wu,Yu-Shan LI. Владелец: Nuvoton Technology Corp. Дата публикации: 2023-10-12.

Semiconductor apparatus including power gating circuits

Номер патента: US10892754B2. Автор: Woongrae Kim. Владелец: SK hynix Inc. Дата публикации: 2021-01-12.

Integrated circuit including power gating circuit

Номер патента: KR20230034781A. Автор: 변대석,곽판석,유창연. Владелец: 삼성전자주식회사. Дата публикации: 2023-03-10.

SEMICONDUCTOR APPARATUS INCLUDING POWER GATING CIRCUITS

Номер патента: US20210126635A1. Автор: KIM Woongrae. Владелец: SK HYNIX INC.. Дата публикации: 2021-04-29.

Semiconductor apparatus including power gating circuits

Номер патента: US20190115919A1. Автор: Woongrae Kim. Владелец: SK hynix Inc. Дата публикации: 2019-04-18.

APPARATUS AND METHOD FOR SENSING DISTRIBUTED LOAD CURRENTS PROVIDED BY POWER GATING CIRCUIT

Номер патента: US20180145686A1. Автор: Chen Nan,Zhang Junmou,ZHONG Guoan. Владелец: . Дата публикации: 2018-05-24.

POWER GATING CIRCUIT AND POWER GATING CONTROL SYSTEM

Номер патента: US20190278359A1. Автор: Lee Yoo Jong,Lee Tae Yong,KIM Woongrae. Владелец: SK HYNIX INC.. Дата публикации: 2019-09-12.

A kind of power gating circuit

Номер патента: CN104850210B. Автор: 张臣雄,唐样洋,王新入. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2017-12-01.

FDD window gate circuit

Номер патента: JPH0650591B2. Автор: 伸隆 中村. Владелец: Toshiba Corp. Дата публикации: 1994-06-29.

CLOCK GATING CIRCUITS AND CIRCUIT ARRANGEMENTS INCLUDING CLOCK GATING CIRCUITS

Номер патента: US20160077544A1. Автор: TZENG JIANN-TYNG,Young Charles Chew-Yuen,CHEN Yi-Feng,SHEN Meng-Hung. Владелец: . Дата публикации: 2016-03-17.

Fault-tolerant clock gating

Номер патента: US20200348718A1. Автор: Michael Klein,Razvan Peter Figuli,Stefan Payer,Cedric Lichtenau. Владелец: International Business Machines Corp. Дата публикации: 2020-11-05.

LOW POWER CLOCK GATE CIRCUIT

Номер патента: US20210064076A1. Автор: Sharma Abhishek,Shamanna Gururaj,Goyal Mitesh,Salaka Jagadeesh,Nayak Purna C.,Sahu Harishankar. Владелец: . Дата публикации: 2021-03-04.

INTEGRATED CLOCK GATING CIRCUIT

Номер патента: US20210143820A1. Автор: KIM Minsu,KIM Ahreum,LEE Youngo. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2021-05-13.

CLOCK GATING CIRCUIT AND METHOD OF OPERATING THE SAME

Номер патента: US20210226615A1. Автор: Chen Xiangdong,Zhuang Hui-Zhong,Liu Chi-Lin,Rasouli Hadi,Kao Jerry Chang Jui,Lin Tzu-Ying,CHEN Yung-Chen. Владелец: . Дата публикации: 2021-07-22.

Clock gating for system-on-chip elements

Номер патента: US20170063618A1. Автор: Sandip Das,Sailesh Kumar,Poonacha Kongetira. Владелец: NetSpeed Systems Inc. Дата публикации: 2017-03-02.

FAULT-TOLERANT CLOCK GATING

Номер патента: US20200348718A1. Автор: KLEIN Michael,Lichtenau Cedric,Payer Stefan,Figuli Razvan Peter. Владелец: . Дата публикации: 2020-11-05.

Method for multi-cycle clock gating

Номер патента: US20110010679A1. Автор: Monica Farkash,Cynthia Rae Eisner. Владелец: Individual. Дата публикации: 2011-01-13.

Clock-gating circuit insertion method, clock-gating circuit insertion program and designing apparatus

Номер патента: US7926014B2. Автор: Yukihito Kawabe. Владелец: Fujitsu Ltd. Дата публикации: 2011-04-12.

Clocked gating based on measured performance

Номер патента: US20040153980A1. Автор: Stephen Wilcox,Paul Alexander Cunningham. Владелец: Azuro UK Ltd. Дата публикации: 2004-08-05.

Clock gating analyzing apparatus, clock gating analyzing method, and computer product

Номер патента: US8069026B2. Автор: Hiroyuki Higuchi. Владелец: Fujitsu Ltd. Дата публикации: 2011-11-29.

Clock-gating synchronization circuit and method of clock-gating synchronization

Номер патента: US20220247411A1. Автор: Yung-Chi LAN. Владелец: Nuvoton Technology Corp. Дата публикации: 2022-08-04.

Clock-gating synchronization circuit and clock-gating synchronization method thereof

Номер патента: TW202232357A. Автор: 藍永吉. Владелец: 新唐科技股份有限公司. Дата публикации: 2022-08-16.

LOW-POWER CLOCK GATE CIRCUIT

Номер патента: US20190044511A1. Автор: AGARWAL Amit,KRISHNAMURTHY Ram K.,Hsu Steven,Realov Simeon,Rajwani Iqbal. Владелец: Intel Corporation. Дата публикации: 2019-02-07.

DESIGN SYNTHESIS OF CLOCK GATED CIRCUIT

Номер патента: US20140258948A1. Автор: Jensen Mark,Goodrich Andrew,Fouron Valery. Владелец: CADENCE DESIGN SYSTEMS, INC.. Дата публикации: 2014-09-11.

Clock-gating phase algebra for clock analysis

Номер патента: US20150161310A1. Автор: Gabor Drasny,Gavin B. Meil. Владелец: International Business Machines Corp. Дата публикации: 2015-06-11.

CLOCK-GATING PHASE ALGEBRA FOR CLOCK ANALYSIS

Номер патента: US20190266302A1. Автор: Drasny Gabor,Meil Gavin B.. Владелец: . Дата публикации: 2019-08-29.

CLOCK-GATING PHASE ALGEBRA FOR CLOCK ANALYSIS

Номер патента: US20150370940A1. Автор: Drasny Gabor,Meil Gavin B.. Владелец: . Дата публикации: 2015-12-24.

METHOD AND APPARATUS FOR GENERATING GATE-LEVEL ACTIVITY DATA FOR USE IN CLOCK GATING EFFICIENCY ANALYSIS

Номер патента: US20140325461A1. Автор: BERKOVITZ ASHER,MOHEBAN LIOR,SHMUELI GUY. Владелец: . Дата публикации: 2014-10-30.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: GB2617176B. Автор: Rovers Kenneth,Nazar Faizan. Владелец: Imagination Technologies Ltd. Дата публикации: 2024-07-24.

CLOCK GATING LATCH PLACEMENT

Номер патента: US20210073344A1. Автор: Strevig,Matheny Adam,SURPRISE Jesse,III Gerald,KOLLESAR Shawn. Владелец: . Дата публикации: 2021-03-11.

PROACTIVE CLOCK GATING SYSTEM TO MITIGATE SUPPLY VOLTAGE DROOPS

Номер патента: US20200081479A1. Автор: MAHURIN Eric Wayne,Kalyanam Vijay Kiran. Владелец: . Дата публикации: 2020-03-12.

CLOCK-GATING FOR MULTICYCLE INSTRUCTIONS

Номер патента: US20180095767A1. Автор: Haess Juergen,Lichtenau Cedric,Payer Stefan,Schelm Kerstin C.. Владелец: . Дата публикации: 2018-04-05.

MULTI-BIT-MAPPING AWARE CLOCK GATING

Номер патента: US20180107779A1. Автор: Zepter Peter Wilhelm Josef,Plagges Martinez Wladimir Alejandro,Genevriere Reiner Wilhelm. Владелец: Synopsys, Inc.. Дата публикации: 2018-04-19.

Hardware Unit for Performing Matrix Multiplication with Clock Gating

Номер патента: US20190227807A1. Автор: Martin Christopher,Pulimeno Azzurra. Владелец: . Дата публикации: 2019-07-25.

Hybrid clock gating methodology for high performance cores

Номер патента: US20180268088A1. Автор: Kalyan Kumar Oruganti,Kailash Digari,Sandeep Nellikatte Srivatsa. Владелец: Qualcomm Inc. Дата публикации: 2018-09-20.

METHOD AND SYSTEM FOR FUNCTIONAL VERIFICATION AND POWER ANALYSIS OF CLOCK-GATED INTEGRATED CIRCUITS

Номер патента: US20170344682A1. Автор: Wilson Theodore. Владелец: . Дата публикации: 2017-11-30.

Hardware unit for performing matrix multiplication with clock gating

Номер патента: EP3480691A1. Автор: Chris Martin,Azzurra PULIMENO. Владелец: Imagination Technologies Ltd. Дата публикации: 2019-05-08.

Hardware unit for performing matrix multiplication with clock gating

Номер патента: EP3770749A1. Автор: Chris Martin,Azzurra PULIMENO. Владелец: Imagination Technologies Ltd. Дата публикации: 2021-01-27.

Hardware unit for performing matrix multiplication with clock gating

Номер патента: GB2568085B. Автор: Martin Chris,Pulimeno Azzurra. Владелец: Imagination Technologies Ltd. Дата публикации: 2020-01-01.

Hardware unit for performing matrix multiplication with clock gating

Номер патента: US11321096B2. Автор: Christopher Martin,Azzurra PULIMENO. Владелец: Imagination Technologies Ltd. Дата публикации: 2022-05-03.

Formally deriving a minimal clock-gating scheme

Номер патента: US7849428B2. Автор: Viresh Paruthi,Harry Barowski,Nicolas Maeding,Tobias Gemmeke,J. Adam Butts. Владелец: International Business Machines Corp. Дата публикации: 2010-12-07.

Clock-gating through data independent logic

Номер патента: US20070130549A1. Автор: Harm Hofstee,Daniel Stasiak,Cynthia Eisner,Alexander Itskovich. Владелец: International Business Machines Corp. Дата публикации: 2007-06-07.

Method for finding multi-cycle clock gating

Номер патента: US7594200B2. Автор: Monica Farkash,Cynthia Rae Eisner. Владелец: International Business Machines Corp. Дата публикации: 2009-09-22.

Clock-gated latch with a level-converting funtion

Номер патента: KR100853649B1. Автор: 김민수. Владелец: 삼성전자주식회사. Дата публикации: 2008-08-25.

Hardware unit for performing matrix multiplication with clock gating

Номер патента: CN114816331A. Автор: 克里斯·马丁,阿祖拉·普利梅诺. Владелец: Imagination Technologies Ltd. Дата публикации: 2022-07-29.

Method for multi-cycle path and false path clock gating

Номер патента: US7958476B1. Автор: Arvind Srinivasan,Yunjian (William) Jiang,Samit Chaudhuri. Владелец: Magma Design Automation LLC. Дата публикации: 2011-06-07.

Hardware unit for performing matrix multiplication with clock gating

Номер патента: EP3955106B1. Автор: Chris Martin,Azzurra PULIMENO. Владелец: Imagination Technologies Ltd. Дата публикации: 2024-02-14.

Approximation of a clock gating function via BDD path elimination

Номер патента: US8166426B2. Автор: Eli Arbel,Oleg Rokhlenko. Владелец: International Business Machines Corp. Дата публикации: 2012-04-24.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: GB202204690D0. Автор: . Владелец: Imagination Technologies Ltd. Дата публикации: 2022-05-18.

Method and circuit for performing error detection on a clock gated register signal

Номер патента: GB202204692D0. Автор: . Владелец: Imagination Technologies Ltd. Дата публикации: 2022-05-18.

Automatic clock gating insertion in an IC design

Номер патента: TW200500831A. Автор: Yong Fan,I-Hao Chen,Steve C Huang. Владелец: Incentia Design Systems Corp. Дата публикации: 2005-01-01.

Electronic divider circuit - contains gate circuit system combined with tetrade subtraction cicruit

Номер патента: DE4211676A1. Автор: Paul Merkle. Владелец: Paul Merkle. Дата публикации: 1993-10-14.

Stack-gate circuit

Номер патента: US11309306B2. Автор: Yung-Chow Peng,Yu-tao YANG,Wen-Shen Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-04-19.

Stack-gate circuit

Номер патента: US20240088127A1. Автор: Yung-Chow Peng,Yu-tao YANG,Wen-Shen Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-14.

Gating circuit

Номер патента: US3102994A. Автор: William A Stampler. Владелец: Burroughs Corp. Дата публикации: 1963-09-03.

Gate circuits for micro computer system

Номер патента: KR890004998B1. Автор: 히로무 에노모또,야스시 야스다,아끼노리 다하라,마사오 구마가이. Владелец: 야마모도 다꾸마. Дата публикации: 1989-12-04.

DATA SECURITY USING INTER-ZONE GATE CIRCUITS

Номер патента: US20140013123A1. Автор: Kramer Joshua,Utin Daniil M.,Khazan Roger I.,Vai Mankuan Michael,Whelihan David. Владелец: . Дата публикации: 2014-01-09.

STACK-GATE CIRCUIT

Номер патента: US20200105739A1. Автор: Peng Yung-Chow,YANG YU-TAO,CHOU WEN-SHEN. Владелец: . Дата публикации: 2020-04-02.

Boot rom gating circuit

Номер патента: US20200334361A1. Автор: Neha Agarwal,Arun Jain,Rohit Kumar Sinha,Himanshu Shekhar Thakur. Владелец: NXP USA Inc. Дата публикации: 2020-10-22.

Rectifying transfer gate circuit

Номер патента: EP0909033B1. Автор: Takashi Makashima. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-02-25.

Gating circuits for electronic computers

Номер патента: US2892103A. Автор: Scarbrough Alfred Dale. Владелец: Thompson Ramo Wooldridge Inc. Дата публикации: 1959-06-23.

Magnetic core gating circuits

Номер патента: US2852699A. Автор: Ruhman Smil. Владелец: Raytheon Manufacturing Co. Дата публикации: 1958-09-16.

Boot rom gating circuit

Номер патента: EP3726377A1. Автор: Neha Agarwal,Arun Jain,Rohit Kumar Sinha,Himanshu Shekhar Thakur. Владелец: NXP USA Inc. Дата публикации: 2020-10-21.

High speed register using gating circuits to bypass delay elements

Номер патента: US2819839A. Автор: May Michael,Donald H Jacobs. Владелец: Donald H Jacobs. Дата публикации: 1958-01-14.

Method that allows flexible evaluation of power-gated circuits

Номер патента: US20060117282A1. Автор: Gerald Frenkil. Владелец: Sequence Design Inc. Дата публикации: 2006-06-01.

Multiple Quality of Service (QoS) Thresholds or Clock Gating Thresholds Based on Memory Stress Level

Номер патента: US20140240332A1. Автор: Marc A. Schaub,Peter F. Holland. Владелец: Apple Inc. Дата публикации: 2014-08-28.

Multiple Quality of Service (QoS) Thresholds or Clock Gating Thresholds Based on Memory Stress Level

Номер патента: US20140240332A1. Автор: Holland Peter F.,Schaub Marc A.. Владелец: Apple Inc.. Дата публикации: 2014-08-28.

ELECTRON TRANSPORT GATE CIRCUITS AND METHODS OF MANUFACTURE, OPERATION AND USE

Номер патента: US20210019607A1. Автор: Rourk Christopher J.. Владелец: . Дата публикации: 2021-01-21.

ELECTRON TRANSPORT GATE CIRCUITS AND METHODS OF MANUFACTURE, OPERATION AND USE

Номер патента: US20200226452A1. Автор: Rourk Christopher J.. Владелец: . Дата публикации: 2020-07-16.

Electron transport gate circuits and methods of manufacture, operation and use

Номер патента: US10817780B2. Автор: Christopher J. Rourk. Владелец: Christopher J. Rourk. Дата публикации: 2020-10-27.

Electronic device and method for performing clock gating in electronic device

Номер патента: US20240110976A1. Автор: Ching-Feng Huang,Yu-Cheng Lo. Владелец: Realtek Semiconductor Corp. Дата публикации: 2024-04-04.

Control of clock gate cells during scan testing

Номер патента: US8443246B1. Автор: Darren Bertanzetti. Владелец: Marvell International Ltd. Дата публикации: 2013-05-14.

Clock gating cell

Номер патента: US11201621B2. Автор: Jiunn-Way Miaw,Wei-Chih Shen,Yu-Cheng Lo,Yu-Jen Pan,Chien-Wei Shih. Владелец: Realtek Semiconductor Corp. Дата публикации: 2021-12-14.

Clock gating cell

Номер патента: US20210313986A1. Автор: Jiunn-Way Miaw,Wei-Chih Shen,Yu-Cheng Lo,Yu-Jen Pan,Chien-Wei Shih. Владелец: Realtek Semiconductor Corp. Дата публикации: 2021-10-07.

Clock gating for X-bounding timing exceptions in IC testing

Номер патента: US09835683B2. Автор: Himanshu Arora,Priya Khandelwal,Abhilash Kaushal. Владелец: NXP USA Inc. Дата публикации: 2017-12-05.

Design for testability for fault detection in clock gate control circuits

Номер патента: WO2024073194A1. Автор: Ripu SINGH,Paul Policke,Preston MCWITHEY. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2024-04-04.

Power Gating Circuit of a Signal Processing System

Номер патента: US20070210857A1. Автор: Shang-Chih Hsieh,Jeng-Huang Wu,Yi-Hwa Chang. Владелец: Individual. Дата публикации: 2007-09-13.

Power gating circuit and a semiconductor chip including the same

Номер патента: US20240195406A1. Автор: Jaewoo Park,Junghwan Choi,Myoungbo KWAK,Jinook JUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-13.

Memristive logic gate circuit

Номер патента: WO2022073802A1. Автор: Nima TaheriNejad. Владелец: TECHNISCHE UNIVERSITÄT WIEN. Дата публикации: 2022-04-14.

Power gating circuit and integrated circuit

Номер патента: US09496863B2. Автор: Jae-Han Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-11-15.

Differential dual floating gate circuit and method for programming

Номер патента: WO2004064115A3. Автор: William Owen. Владелец: William Owen. Дата публикации: 2005-02-24.

Differential dual floating gate circuit and method for programming

Номер патента: WO2004064115A2. Автор: William Owen. Владелец: Xicor, Inc.. Дата публикации: 2004-07-29.

Electronic gating circuits

Номер патента: US3731117A. Автор: F Everest,T Veasey. Владелец: British Aircraft Corp Ltd. Дата публикации: 1973-05-01.

Pass gate circuit stably transferring signal and control method

Номер патента: US7636008B2. Автор: Jae-hoon Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-12-22.

Pass gate circuit stably transferring signal and control method

Номер патента: US20080074160A1. Автор: Jae-hoon Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-03-27.

Controlled gate circuit

Номер патента: US4389578A. Автор: Delmer W. Wagner. Владелец: Individual. Дата публикации: 1983-06-21.

Power gating circuit

Номер патента: US11664798B2. Автор: Baoding Yang. Владелец: IPGoal Microelectronics Sichuan Co Ltd. Дата публикации: 2023-05-30.

Display device having a gate circuit which performs bidirectional scanning

Номер патента: US9190006B2. Автор: Takahiro Ochiai,Mitsuru Goto. Владелец: Japan Display Inc. Дата публикации: 2015-11-17.

Gating circuit for displaced pulses

Номер патента: US3567960A. Автор: Charles E Owen,Christopher N Wallis. Владелец: International Business Machines Corp. Дата публикации: 1971-03-02.

Clocked logic gate circuit

Номер патента: US6476644B2. Автор: Noboru Masuda,Takeshi Kusunoki,Kenji Kaneko,Kazuo Kanetani,Makoto Hanawa,Hiroaki Nambu,Kaname Yamasaki. Владелец: HITACHI LTD. Дата публикации: 2002-11-05.

Nuclear magnetic resonance spectrometer employing an improved resonance signal gating circuit

Номер патента: CA1067577A. Автор: Howard D.W. Hill,John R. Laudermilch. Владелец: Varian Associates Inc. Дата публикации: 1979-12-04.

Memristive logic gate circuit

Номер патента: US20230298664A1. Автор: Nima TaheriNejad. Владелец: TECHNISCHE UNIVERSITAET WIEN. Дата публикации: 2023-09-21.

Memristive logic gate circuit

Номер патента: EP4226375A1. Автор: Nima TaheriNejad. Владелец: TECHNISCHE UNIVERSITAET WIEN. Дата публикации: 2023-08-16.

Memristive logic gate circuit

Номер патента: CA3194489A1. Автор: Nima TaheriNejad. Владелец: TECHNISCHE UNIVERSITAET WIEN. Дата публикации: 2022-04-14.

Low speed gate circuit

Номер патента: CA1257022A. Автор: Gregg D. Carse. Владелец: Pacific Bell Corp. Дата публикации: 1989-07-04.

High-speed serial interface and data transmission method

Номер патента: EP4443308A1. Автор: Er NIE. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-10-09.

High-Speed Serial Interface and Data Transmission Method

Номер патента: US20240356670A1. Автор: Er NIE. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-10-24.

Memory subsystem and computer system

Номер патента: US20160328000A1. Автор: Masahiro Murakami,Norio Fujita,Masahiro Hori,Junka Okazawa. Владелец: International Business Machines Corp. Дата публикации: 2016-11-10.

Signal gating circuit for use in digital circuits and method therefor

Номер патента: US10056899B1. Автор: Kenneth W. Fernald,Chester Yu,Hegong Wei. Владелец: Silicon Laboratories Inc. Дата публикации: 2018-08-21.

Monolithically integrated organ gate circuit with crack suppression

Номер патента: DE2814175C2. Автор: Dieter 7800 Freiburg Holzmann. Владелец: Deutsche ITT Industries GmbH. Дата публикации: 1982-04-29.

Ip frequency adaptive same-cycle clock gating

Номер патента: US20240213987A1. Автор: Jimin Zhang,Jianwei Dai. Владелец: Intel Corp. Дата публикации: 2024-06-27.

Multi-phase clock gating with phase selection

Номер патента: US20240223192A1. Автор: Pradeep Jayaraman,Ramon Mangaser. Владелец: Advanced Micro Devices Inc. Дата публикации: 2024-07-04.

Data-dependent clock-gating switch driver for a digital- to-analog converter (dac)

Номер патента: WO2022231818A1. Автор: Ashok Swaminathan,Andrew Weil,Nitz Saputra. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2022-11-03.

Clock gating circuit

Номер патента: US20100033229A1. Автор: Kazuyuki Irie. Владелец: NEC Electronics Corp. Дата публикации: 2010-02-11.

Low power toggle latch-based flip-flop including integrated clock gating logic

Номер патента: US09419590B2. Автор: Matthew Berzins,Christina Wells. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-08-16.

Relative timed clock gating cell

Номер патента: US09548736B2. Автор: William Lee,Kenneth S. Stevens. Владелец: University of Utah Research Foundation UURF. Дата публикации: 2017-01-17.

Relative timed clock gating cell

Номер патента: US20160365857A1. Автор: William Lee,Kenneth S. Stevens. Владелец: University of Utah Research Foundation UURF. Дата публикации: 2016-12-15.

Clock gated flip-flop

Номер патента: US09876486B2. Автор: Gideon Paul. Владелец: MARVELL WORLD TRADE LTD. Дата публикации: 2018-01-23.

Clock gated flip-flop

Номер патента: US09621144B2. Автор: Gideon Paul. Владелец: MARVELL WORLD TRADE LTD. Дата публикации: 2017-04-11.

Integrated clock gating cell and integrated circuit including the same

Номер патента: US20210194486A1. Автор: Ahreum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-06-24.

Integrated clock gating cell and integrated circuit including the same

Номер патента: US20210099173A1. Автор: Ahreum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-04-01.

Systems and methods using improved clock gating cells

Номер патента: EP2342822A1. Автор: Martin Saint-Laurent,Varun Verma,Animesh Datta,Prayag B. Patel. Владелец: Qualcomm Inc. Дата публикации: 2011-07-13.

Integrierte clock-gating-zelle und integrierte schaltung, die dieselbe enthält

Номер патента: DE102020116816A1. Автор: Ahreum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-04-01.

Clock gated digital data encoding circuit

Номер патента: CA1073127A. Автор: Earl R. Winkelmann,Robert S. Briggs (Jr.). Владелец: Spectradyne Inc. Дата публикации: 1980-03-04.

Composite logic gate circuit

Номер патента: CA3199510C. Автор: Dong Yu,Weixin Kong,Zuoxing YANG,Zhijun Fan,WenBo TIAN. Владелец: Shenzhen MicroBT Electronics Technology Co Ltd. Дата публикации: 2024-06-25.

Power gating circuit and control method for power gating switch thereof

Номер патента: US09571068B1. Автор: Che-Min Lin. Владелец: Winbond Electronics Corp. Дата публикации: 2017-02-14.

Transmission gate circuit

Номер патента: US09941883B2. Автор: Wenzhong Zhang,Michael A. Stockinger. Владелец: NXP USA Inc. Дата публикации: 2018-04-10.

Sequential gating circuit

Номер патента: US3721770A. Автор: T Burns,J Catterall,R Beidel. Владелец: Bell Telephone Laboratories Inc. Дата публикации: 1973-03-20.

Composite logic gate circuit

Номер патента: US11949416B2. Автор: Dong Yu,Weixin Kong,Zuoxing YANG,Zhijun Fan,WenBo TIAN. Владелец: Shenzhen MicroBT Electronics Technology Co Ltd. Дата публикации: 2024-04-02.

Composite logic gate circuit

Номер патента: US20240039540A1. Автор: Dong Yu,Weixin Kong,Zuoxing YANG,Zhijun Fan,WenBo TIAN. Владелец: Shenzhen MicroBT Electronics Technology Co Ltd. Дата публикации: 2024-02-01.

Transmission gate circuit

Номер патента: US20200195243A1. Автор: Hsin-Cheng HSU,Po-Ching Lin,Tay-Her Tsaur. Владелец: Realtek Semiconductor Corp. Дата публикации: 2020-06-18.

Transmission gate circuit

Номер патента: US10862474B2. Автор: Hsin-Cheng HSU,Po-Ching Lin,Tay-Her Tsaur. Владелец: Realtek Semiconductor Corp. Дата публикации: 2020-12-08.

Gating circuit for a kinescope driver including a clamping circuit

Номер патента: CA1063714A. Автор: Donald H. Willis. Владелец: RCA Corp. Дата публикации: 1979-10-02.

Gate circuit

Номер патента: US3737680A. Автор: K Uchida. Владелец: Iwatsu Electric Co Ltd. Дата публикации: 1973-06-05.

Differentiator and variable threshold gate circuit

Номер патента: US3919537A. Автор: Byron G Bynum. Владелец: Texas Instruments Inc. Дата публикации: 1975-11-11.

Gate circuit for hard driven GTO

Номер патента: US5493247A. Автор: Horst Gruning. Владелец: Asea Brown Boveri AG Switzerland. Дата публикации: 1996-02-20.

Logic gate circuit, latch, and flip-flop

Номер патента: US20240259022A1. Автор: Jeffrey Junhao XU,Ying Wu,Weiliang JING,Zhaozhao HOU,Renshi FAN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-08-01.

High speed low power current controlled gate circuit

Номер патента: US4605870A. Автор: Allan H. Dansky,John P. Norsworthy. Владелец: International Business Machines Corp. Дата публикации: 1986-08-12.

Logic gate circuit, latch, and trigger

Номер патента: EP4383574A1. Автор: Ying Wu,Weiliang JING,Zhaozhao HOU,Jeffrey XU,Renshi FAN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-06-12.

Time borrowing flip-flop with clock gating scan multiplexer

Номер патента: US09985612B2. Автор: Amit Agarwal,Ram K. Krishnamurthy,Steven K. Hsu,Simeon REALOV. Владелец: Intel Corp. Дата публикации: 2018-05-29.

Three input exclusive OR-NOR gate circuit

Номер патента: US4888499A. Автор: Ikuo J. Sanwo,Gregory H. Milby. Владелец: NCR Corp. Дата публикации: 1989-12-19.

High speed low power schottky integrated logic gate circuit with current boost

Номер патента: US3867644A. Автор: Ronald L Cline. Владелец: Signetics Corp. Дата публикации: 1975-02-18.

FET Gating circuit with fast turn-on capacitor

Номер патента: US4471245A. Автор: William J. Janutka. Владелец: Eaton Corp. Дата публикации: 1984-09-11.

Fault tolerant and gate circuit

Номер патента: US5457403A. Автор: Eric B. Baum. Владелец: NEC Laboratories America Inc. Дата публикации: 1995-10-10.

Bidirectional drain to drain stacked FET gating circuit

Номер патента: US4488068A. Автор: William J. Janutka. Владелец: Eaton Corp. Дата публикации: 1984-12-11.

High-speed gating circuit

Номер патента: US3648072A. Автор: Leonard Roy Harper. Владелец: International Business Machines Corp. Дата публикации: 1972-03-07.

Field effect transistor gate circuit for analog signals

Номер патента: US4551644A. Автор: Yoshiaki Sano,Eiji Nishimori,Chikara Tsuchiya. Владелец: Fujitsu Ltd. Дата публикации: 1985-11-05.

Gating circuit for thyristor deflection systems

Номер патента: CA1044363A. Автор: Willem Den Hollander. Владелец: RCA Corp. Дата публикации: 1978-12-12.

Power semiconducior gating circuit

Номер патента: US3471716A. Автор: Edward H Dinger. Владелец: General Electric Co. Дата публикации: 1969-10-07.

Gate circuit

Номер патента: US3665320A. Автор: Mitsuo Ohsawa,Shinziro Mino. Владелец: Sony Corp. Дата публикации: 1972-05-23.

Gate circuit and delay circuit

Номер патента: US20050212013A1. Автор: Hideo Takeda,Katsunao Kanari. Владелец: Fujitsu Ltd. Дата публикации: 2005-09-29.

Double-clamped schottky transistor logic gate circuit

Номер патента: US3751680A. Автор: D Hodges. Владелец: Signetics Corp. Дата публикации: 1973-08-07.

Rod core choke for suppressor application in phase-gating circuits

Номер патента: US3906421A. Автор: Josef Wimmer. Владелец: SIEMENS AG. Дата публикации: 1975-09-16.

Pass gate circuit

Номер патента: US20140184305A1. Автор: Fei Wang,Kunkun Zheng. Владелец: STMicroelectronics Shanghai Co Ltd. Дата публикации: 2014-07-03.

Pass gate circuit

Номер патента: US9000831B2. Автор: Fei Wang,Kunkun Zheng. Владелец: STMicroelectronics Shanghai Co Ltd. Дата публикации: 2015-04-07.

Nand gate circuit, display back plate, display device and electronic device

Номер патента: US20160028398A1. Автор: Zhongyuan Wu,Liye Duan,Danna SONG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-01-28.

Time borrowing flip-flop with clock gating scan multiplexer

Номер патента: EP3504794A1. Автор: Ram Krishnamurthy,Amit Agarwal,Steven Hsu,Simeon REALOV. Владелец: Intel Corp. Дата публикации: 2019-07-03.

Clock gating circuits and scan chain circuits using the same

Номер патента: US20180203067A1. Автор: Yiwei Chen. Владелец: MediaTek Inc. Дата публикации: 2018-07-19.

Clock gate circuit

Номер патента: JPS5489445A. Автор: Yutaka Hayashi. Владелец: Nippon Electric Co Ltd. Дата публикации: 1979-07-16.

Clock Gating And Scan Clock Generation For Circuit Test

Номер патента: US20200141999A1. Автор: Côté Jean-François. Владелец: . Дата публикации: 2020-05-07.

Clock gating and scan clock generation for circuit test

Номер патента: US11085965B2. Автор: Jean-Francois Cote. Владелец: Siemens Industry Software Inc. Дата публикации: 2021-08-10.

Design-for-test technique to reduce test volume including a clock gate controller

Номер патента: US20120072797A1. Автор: Narendra B. Devta-Prasanna. Владелец: LSI Corp. Дата публикации: 2012-03-22.

Time borrowing flip-flop with clock gating scan multiplexer

Номер патента: US20180062625A1. Автор: Amit Agarwal,Ram K. Krishnamurthy,Steven K. Hsu,Simeon REALOV. Владелец: Intel Corp. Дата публикации: 2018-03-01.

COMMAND SIGNAL CLOCK GATING

Номер патента: US20190066758A1. Автор: Gajapathy Parthasarathy. Владелец: . Дата публикации: 2019-02-28.

CLOCK GATING FOR X-BOUNDING TIMING EXCEPTIONS IN IC TESTING

Номер патента: US20170176535A1. Автор: ARORA HIMANSHU,Khandelwal Priya,Kaushal Abhilash. Владелец: . Дата публикации: 2017-06-22.

COMMAND SIGNAL CLOCK GATING

Номер патента: US20190244654A1. Автор: Gajapathy Parthasarathy. Владелец: . Дата публикации: 2019-08-08.

Display device performing clock gating

Номер патента: KR20230016767A. Автор: 이효철,이민주. Владелец: 삼성디스플레이 주식회사. Дата публикации: 2023-02-03.

Storage element with clock gating

Номер патента: US11463074B2. Автор: Thomas Kuenemund,Thomas Poeppelmann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2022-10-04.

Command signal clock gating

Номер патента: US10163486B1. Автор: Parthasarathy Gajapathy. Владелец: Micron Technology Inc. Дата публикации: 2018-12-25.

Control of clock gate cells during scan testing

Номер патента: US8689067B1. Автор: Darren Bertanzetti. Владелец: Marvell International Ltd. Дата публикации: 2014-04-01.

Command signal clock gating

Номер патента: WO2019045794A1. Автор: Parthasarathy Gajapathy. Владелец: MICRON TECHNOLOGY, INC. Дата публикации: 2019-03-07.

Time borrowing flip-flop with clock gating scan multiplexer

Номер патента: EP3504794A4. Автор: Ram Krishnamurthy,Amit Agarwal,Steven Hsu,Simeon REALOV. Владелец: Intel Corp. Дата публикации: 2020-07-22.

Driver circuit equipped with power gating circuit

Номер патента: US20200321038A1. Автор: Mieko KOJIMA. Владелец: Micron Technology Inc. Дата публикации: 2020-10-08.

Driver circuit equipped with power gating circuit

Номер патента: EP3948869A1. Автор: Mieko KOJIMA. Владелец: Micron Technology Inc. Дата публикации: 2022-02-09.

Driver circuit equipped with power gating circuit

Номер патента: EP3948869A4. Автор: Mieko KOJIMA. Владелец: Micron Technology Inc. Дата публикации: 2022-12-14.

Semiconductor device

Номер патента: US12130657B2. Автор: Jae Young Lee,Se Hun Kim,Jae Gon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-29.

Potential generation circuit, inverter, delay circuit, and logic gate circuit

Номер патента: EP4033664A1. Автор: LEI Zhu,Zhiyong Chen,Jinlai Luo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-07-27.

Potential generation circuit, inverter, delay circuit, and logic gate circuit

Номер патента: EP4033664B1. Автор: LEI Zhu,Zhiyong Chen,Jinlai Luo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-10.

GATE CIRCUIT, DRIVING METOHD FOR GATE CIRCUIT AND DISPLAY DEVICE USING THE SAME

Номер патента: US20160240129A1. Автор: Kim Ji-Sun,Park Jun Hyun,Kim Jong Hee,CHAI Chong Chul,SEO Young Wan,LIM Jae Keun. Владелец: . Дата публикации: 2016-08-18.

Power gating circuit and integrated circuit

Номер патента: US20150280703A1. Автор: Jae-Han Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-10-01.

DRIVER CIRCUIT EQUIPPED WITH POWER GATING CIRCUIT

Номер патента: US20200321038A1. Автор: Kojima Mieko. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-10-08.

Driver circuit equipped with power gating circuit

Номер патента: WO2020206023A1. Автор: Mieko KOJIMA. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-10-08.

Gating circuit and magnetic store incorporating the gating circuit

Номер патента: CA925957A. Автор: D. Commander Robert. Владелец: International Business Machines Corp. Дата публикации: 1973-05-08.

Safety gate circuit

Номер патента: US3215895A. Автор: Richard F Lach. Владелец: United Aircraft Corp. Дата публикации: 1965-11-02.

Gating circuit

Номер патента: GB8423521D0. Автор: . Владелец: International Standard Electric Corp. Дата публикации: 1984-10-24.

Improvements in or relating to gating circuits

Номер патента: AU4576372A. Автор: Mead Benson George. Владелец: Western Electric Co Inc. Дата публикации: 1974-02-21.

Magnetic wire gating circuit

Номер патента: US3470543A. Автор: James L Smith,Reginald A Kaenel. Владелец: Bell Telephone Laboratories Inc. Дата публикации: 1969-09-30.

Gate circuit and display device using the same

Номер патента: US09515647B2. Автор: Hyun Joon Kim,Jae Keun LIM,Chong Chul Chai,Cheol-Gon LEE. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-12-06.

Pulse code responsive signal detector and gate circuit

Номер патента: US3340510A. Автор: John M Tiffany. Владелец: Western Electric Co Inc. Дата публикации: 1967-09-05.

GATE CIRCUIT AND DISPLAY DEVICE

Номер патента: US20220172658A1. Автор: KIM Joonki. Владелец: . Дата публикации: 2022-06-02.

Nor Gate Circuit, Shift Register, Array Substrate and Display Apparatus

Номер патента: US20170141777A1. Автор: Wu Zhongyuan. Владелец: BOE Technology Group Co., Ltd.. Дата публикации: 2017-05-18.

NONVOLATILE LOGIC GATE CIRCUIT BASED ON PHASE CHANGE MEMORY

Номер патента: US20150236697A1. Автор: Xu Lei,Li Yi,Cheng Xiaomin,Miao Xiangshui,SUN Huajun,ZHONG Yingpeng. Владелец: . Дата публикации: 2015-08-20.

GATE CIRCUIT AND DISPLAY DEVICE USING THE SAME

Номер патента: US20150356909A1. Автор: Lee Cheol-gon,CHAI Chong Chul,LIM Jae Keun,KIM Hyun Joon. Владелец: . Дата публикации: 2015-12-10.

Power gate circuits for semiconductor devices

Номер патента: US20190355677A1. Автор: Yasushi Matsubara. Владелец: Micron Technology Inc. Дата публикации: 2019-11-21.

Power Gating Circuit

Номер патента: US20220376688A1. Автор: Yang Baoding. Владелец: . Дата публикации: 2022-11-24.

Gate circuit

Номер патента: JPS5571324A. Автор: Tsutomu Shimatani. Владелец: Mitsubishi Electric Corp. Дата публикации: 1980-05-29.

Gate circuit and display device

Номер патента: KR20220076841A. Автор: 김준기. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2022-06-08.

Gate circuit and display device

Номер патента: KR20220080835A. Автор: 이정민,민태현. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2022-06-15.

Current injection type logical gate circuit using joephson effect

Номер патента: JPS5846726A. Автор: Junichi Sone,曽根 純一. Владелец: Nippon Electric Co Ltd. Дата публикации: 1983-03-18.

Isolation gate circuit with improved reliability in burn-in mode

Номер патента: KR950004870B1. Автор: 오승철,석용식. Владелец: 김광호. Дата публикации: 1995-05-15.

A kind of gating circuit applied to single-photon detector

Номер патента: CN107024287A. Автор: 吴俊辉,沈寒冰,卜晓峰,马浩文. Владелец: CHAORUI MICROELECTRONICS Co Ltd SUZHOU. Дата публикации: 2017-08-08.

Nor gate circuit, shift register, array substrate and display device

Номер патента: EP3309968B1. Автор: Zhongyuan Wu. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2023-05-10.

Semiconductor apparatus including a power gating circuit

Номер патента: US10389349B2. Автор: Woongrae Kim. Владелец: SK hynix Inc. Дата публикации: 2019-08-20.

Burglar alarm system using activation by remote signalling - operates gating circuit controlling warning devices

Номер патента: FR2301886A1. Автор: . Владелец: Stanley Works Italia SRL. Дата публикации: 1976-09-17.

Nmos exclusive or gate circuit

Номер патента: KR940000253Y1. Автор: 박용수. Владелец: 금성일렉트론 주식회사. Дата публикации: 1994-01-19.

Magnetic core gating circuits

Номер патента: US3267441A. Автор: Donald F Busch. Владелец: International Business Machines Corp. Дата публикации: 1966-08-16.

Integrated semiconductor device with ECL gate circuits

Номер патента: DE69124341T2. Автор: Mitsuhiro Hamada. Владелец: NEC Corp. Дата публикации: 1997-05-15.

Clocked logic gate circuit

Номер патента: US20010000017A1. Автор: Noboru Masuda,Takeshi Kusunoki,Kenji Kaneko,Kazuo Kanetani,Makoto Hanawa,Hiroaki Nambu,Kaname Yamasaki. Владелец: Kaname Yamasaki. Дата публикации: 2001-03-15.

Memory memory with gate circuit for the read signal

Номер патента: DE1954506A1. Автор: Karl-Ulrich Dipl-Ing Dr Stein. Владелец: SIEMENS AG. Дата публикации: 1971-05-06.

Gate circuit for thyristor power supply unit

Номер патента: JPS51122748A. Автор: Etsuchi Piitaazu Ji Fuiritsupu. Владелец: Environment One Corp. Дата публикации: 1976-10-27.

Gate circuit and display device using the same

Номер патента: KR102315888B1. Автор: 김현준,채종철,임재근,이철곤. Владелец: 삼성디스플레이 주식회사. Дата публикации: 2021-10-21.

Gate circuit

Номер патента: JPS6038791A. Автор: Hideyuki Aoki,Kinya Mitsumoto,英之 青木,光本 欽哉. Владелец: HITACHI LTD. Дата публикации: 1985-02-28.

Gating circuit for analog values

Номер патента: US6191639B1. Автор: Ernst Rau. Владелец: Litef GmbH. Дата публикации: 2001-02-20.

Gating circuit and method for multi-phase clock signals and electronic equipment

Номер патента: CN111613257B. Автор: 马军亮. Владелец: Xian Unilc Semiconductors Co Ltd. Дата публикации: 2022-07-15.

Signal generating apparatus with frequency controlled by gating circuit

Номер патента: US3336536A. Автор: John S Dame. Владелец: Motorola Inc. Дата публикации: 1967-08-15.

Transistor gating circuit

Номер патента: US3007056A. Автор: Joseph C Logue,Harold C Goodman. Владелец: International Business Machines Corp. Дата публикации: 1961-10-31.

Gate circuit diagnosis system

Номер патента: JPS59200353A. Автор: Katsuhiko Shioya,克彦 塩屋. Владелец: Fujitsu Ltd. Дата публикации: 1984-11-13.

Gating circuits for electrical musical instruments

Номер патента: US3233031A. Автор: Jr Walter Munch,Robert C Scherer. Владелец: DH Baldwin Co. Дата публикации: 1966-02-01.

On-chip clock controller

Номер патента: US09740234B1. Автор: Hong Kim,Paul Policke,Anirudh Kadiyala. Владелец: Qualcomm Inc. Дата публикации: 2017-08-22.

Data path clock skew management in a dynamic power management environment

Номер патента: WO1999050821A1. Автор: Ignatius Tjandrasuwita. Владелец: Ignatius Tjandrasuwita. Дата публикации: 1999-10-07.

Semiconductor circuit and support device for logic circuit design

Номер патента: US20230084986A1. Автор: LI Tao,Osamu Nomura,Tetsuo Endoh,Ko Yoshikawa,Yitao Ma. Владелец: Tohoku University NUC. Дата публикации: 2023-03-16.

Semiconductor circuit and support device for logic circuit design

Номер патента: US11990901B2. Автор: LI Tao,Osamu Nomura,Tetsuo Endoh,Ko Yoshikawa,Yitao Ma. Владелец: Tohoku University NUC. Дата публикации: 2024-05-21.

Semiconductor integrated circuit device

Номер патента: US20070011641A1. Автор: Ryota Nishikawa. Владелец: Individual. Дата публикации: 2007-01-11.

Data path clock skew management in a dynamic power management environment

Номер патента: EP1066620A1. Автор: Ignatius Tjandrasuwita. Владелец: Individual. Дата публикации: 2001-01-10.

Semiconductor device and semiconductor system

Номер патента: US20190214989A1. Автор: Sang Woo Kim,Byung Tak Lee,Yun Ju Kwon,Yoo Seok SHON,Joon-Woo Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-07-11.

Efficient clock start and stop apparatus for clock forwarded sytem i/o

Номер патента: WO2002014993A3. Автор: Paul C Miranda,Brian D Mcminn. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-08-29.

Efficient clock start and stop apparatus for clock forwarded sytem i/o

Номер патента: WO2002014993A2. Автор: Brian D. Mcminn,Paul C. Miranda. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2002-02-21.

Method of and apparatus for controlling clock signal

Номер патента: US11838026B2. Автор: Liu Han,Jing Ding,Qingchao Meng,Huaixin XIAN. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2023-12-05.

Efficient clock start and stop apparatus for clock forwarded sytem i/o

Номер патента: EP1309913A2. Автор: Brian D. Mcminn,Paul C. Miranda. Владелец: Advanced Micro Devices Inc. Дата публикации: 2003-05-14.

Clock control device, semiconductor device including the same and clock control method

Номер патента: US20140084965A1. Автор: Ji-Yong AHN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-03-27.

Low power and high speed scan dump

Номер патента: US20240168513A1. Автор: Nehal Patel. Владелец: Advanced Micro Devices Inc. Дата публикации: 2024-05-23.

Clock gating system and method

Номер патента: KR101252698B1. Автор: 폴 바셋트,마틴 사인트-로렌트,바삼 자밀 모흐드. Владелец: 퀄컴 인코포레이티드. Дата публикации: 2013-04-09.

Reactive power compensation apparatus with improved gate circuit

Номер патента: CA2005308C. Автор: Hidetoshi Ino. Владелец: Toshiba Corp. Дата публикации: 1995-05-23.

Ttl compatible logic gate circuit and the integrated layout of the circuit

Номер патента: CA1030222A. Автор: Richard A. Pedersen,Jack Kane. Владелец: Western Electric Co Inc. Дата публикации: 1978-04-25.

Dimming control techniques using self-excited gate circuits

Номер патента: WO2005029919A2. Автор: Shu-Yuen Ron Hui,Shu-Hung Henry Chung. Владелец: E. Energy Double Tree Limited. Дата публикации: 2005-03-31.

Gate voltage and substrate voltage following CMOS tri-state gate circuit

Номер патента: CN113364448A. Автор: 徐晟阳,邹林均,任罗伟. Владелец: Wuxi I Core Electronics Co ltd. Дата публикации: 2021-09-07.

Commercial gas stove cooking energy-saving device with monitoring signal provided by AND gate circuit

Номер патента: CN2893475Y. Автор: 谭启仁. Владелец: Individual. Дата публикации: 2007-04-25.

Electrical gating circuits

Номер патента: US2659815A. Автор: Daniel L Curtis. Владелец: Hughes Tool Co. Дата публикации: 1953-11-17.

Clock gating circuit

Номер патента: USRE50010E1. Автор: Hyun Lee,Min-Su Kim,Ah-Reum Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-11.

Low-power dual-edge-triggered storage cell with scan test support and clock gating circuit therefor

Номер патента: US20120001669A1. Автор: Jakob Salling. Владелец: Oticon AS. Дата публикации: 2012-01-05.

Single phase clock-gating circuit

Номер патента: US20200395939A1. Автор: John Pasternak,Pradip Subhana Jadhav. Владелец: Synopsys Inc. Дата публикации: 2020-12-17.

Low-power dual-edge-triggered storage cell with scan test support and clock gating circuit therefore

Номер патента: US20140145761A1. Автор: Jakob Salling. Владелец: Oticon AS. Дата публикации: 2014-05-29.

Clock Gating Circuit

Номер патента: US20190028091A1. Автор: Yves Thomas Laplanche,Anil Kumar BARATAM,Nruthya Nagesh Prabhu. Владелец: ARM LTD. Дата публикации: 2019-01-24.

Internal clock gated cell

Номер патента: US09887698B2. Автор: Lee-Chung Lu,Shang-Chih Hsieh,Chi-Lin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-06.

Clock-gating cell with low area, low power, and low setup time

Номер патента: EP3245735A2. Автор: Seid Hadi Rasouli,Animesh Datta,Steven James Dillen. Владелец: Qualcomm Inc. Дата публикации: 2017-11-22.

Clock-gating cell with low area, low power, and low setup time

Номер патента: WO2016114892A2. Автор: Seid Hadi Rasouli,Animesh Datta,Steven James Dillen. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-07-21.

Clock-gating cell with low area, low power, and low setup time

Номер патента: US09577635B2. Автор: Seid Hadi Rasouli,Animesh Datta,Steven James Dillen. Владелец: Qualcomm Inc. Дата публикации: 2017-02-21.

Clock Gated Delay Line Based On Setting Value

Номер патента: US20150061743A1. Автор: Suresh Balasubramanian. Владелец: Cavium LLC. Дата публикации: 2015-03-05.

Power efficient integrated charge pumps using clock gating

Номер патента: WO2002069481A2. Автор: Dean Allum. Владелец: EM MICROELECTRONIC-MARIN SA. Дата публикации: 2002-09-06.

Power efficient integrated charge pump using clock gating

Номер патента: US20040124907A1. Автор: Dean Allum. Владелец: Individual. Дата публикации: 2004-07-01.

Power efficient integrated charge pumps using clock gating

Номер патента: WO2002069481A3. Автор: Dean Allum. Владелец: Dean Allum. Дата публикации: 2002-12-05.

Flop Circuit with Integrated Clock Gating Circuit

Номер патента: US20190089337A1. Автор: Bhatia Ajay,Venugopal Vivekanandan,Seningen Michael R. Владелец: . Дата публикации: 2019-03-21.

Zelle mit internem clock-gating

Номер патента: DE102016100276A1. Автор: Lee-Chung Lu,Shang-Chih Hsieh,Chi-Lin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-14.

Clock Gating Circuit

Номер патента: US20190028091A1. Автор: LAPLANCHE Yves Thomas,BARATAM Anil Kumar,Prabhu Nruthya Nagesh. Владелец: . Дата публикации: 2019-01-24.

INTEGRATED CLOCK GATE CIRCUIT WITH EMBEDDED NOR

Номер патента: US20180062658A1. Автор: AGARWAL Amit,HSU Steven K.,KRISHNAMURTHY Ram K.,Rajwani Iqbal R.,Realov Simeon. Владелец: . Дата публикации: 2018-03-01.

LOW-POWER DUAL-EDGE-TRIGGERED STORAGE CELL WITH SCAN TEST SUPPORT AND CLOCK GATING CIRCUIT THEREFORE

Номер патента: US20140145761A1. Автор: Salling Jakob. Владелец: . Дата публикации: 2014-05-29.

Low Power Clock Gating Circuit

Номер патента: US20190089354A1. Автор: Bhatia Ajay,Seningen Michael R.,Venugopal Vivekanandan. Владелец: . Дата публикации: 2019-03-21.

Low power clock gating circuit

Номер патента: US20150155870A1. Автор: Sumanth Katte Gururajarao. Владелец: Mediatek Singapore Pte Ltd. Дата публикации: 2015-06-04.

CLOCK GATING CIRCUIT

Номер патента: US20190173472A1. Автор: Kim Ah-Reum,Lee Hyun,Kim Min-Su. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2019-06-06.

Clock gating circuit for reducing dynamic power

Номер патента: US20150200669A1. Автор: JI Li,Qiang Dai,Yanfei Cai. Владелец: Qualcomm Atheros Inc. Дата публикации: 2015-07-16.

LOW POWER CLOCK GATING CIRCUIT

Номер патента: US20140292372A1. Автор: Gururajarao Sumanth Katte. Владелец: MEDIATEK SINGAPORE PTE. LTD.. Дата публикации: 2014-10-02.

CLOCK GATING CIRCUIT OPERATES AT HIGH SPEED

Номер патента: US20180287612A1. Автор: KIM Minsu,HWANG Hyunchul. Владелец: . Дата публикации: 2018-10-04.

CLOCK GATING CIRCUIT OPERATES AT HIGH SPEED

Номер патента: US20170324410A1. Автор: KIM Minsu,HWANG Hyunchul. Владелец: . Дата публикации: 2017-11-09.

ASYNCHRONOUS CLOCK GATING CIRCUIT

Номер патента: US20180351537A1. Автор: Prakash Gyan,KUMAR NIDHIR. Владелец: . Дата публикации: 2018-12-06.

SINGLE PHASE CLOCK-GATING CIRCUIT

Номер патента: US20200395939A1. Автор: Jadhav Pradip Subhana,Pasternak John. Владелец: . Дата публикации: 2020-12-17.

For reducing the clock gating circuit of dynamic power

Номер патента: CN104769841B. Автор: J·李,Q·戴,蔡燕飞. Владелец: Qualcomm Inc. Дата публикации: 2018-11-13.

Clock gating circuit for reducing dynamic power

Номер патента: US9270270B2. Автор: JI Li,Qiang Dai,Yanfei Cai. Владелец: Qualcomm Inc. Дата публикации: 2016-02-23.

Clock gating circuit for reducing dynamic power

Номер патента: EP2898599A1. Автор: JI Li,Qiang Dai,Yanfei Cai. Владелец: Qualcomm Inc. Дата публикации: 2015-07-29.

Clock gated circuit

Номер патента: US20080204081A1. Автор: Jin-Soo Park,Gun-Ok Jung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-08-28.

Asynchronous clock gating circuit

Номер патента: US10312886B2. Автор: Gyan Prakash,Nidhir Kumar. Владелец: Invecas Technologies Pvt Ltd. Дата публикации: 2019-06-04.

Clock gating circuit

Номер патента: TW201034379A. Автор: Kazuyuki Irie. Владелец: NEC Electronics Corp. Дата публикации: 2010-09-16.

Controlling power up using clock gating

Номер патента: US5822596A. Автор: Hehching Harry Li,Humberto Felipe Casal,Trong Duc Nguyen,Nandor Gyorgy Thoma. Владелец: International Business Machines Corp. Дата публикации: 1998-10-13.

Asynchronous clock gate with glitch protection

Номер патента: EP2208284A1. Автор: Ruediger Kuhn. Владелец: TEXAS INSTRUMENTS DEUTSCHLAND GMBH. Дата публикации: 2010-07-21.

Asynchronous clock gate with glitch protection

Номер патента: WO2009047340A1. Автор: Ruediger Kuhn. Владелец: TEXAS INSTRUMENTS DEUTSCHLAND GMBH. Дата публикации: 2009-04-16.

Asynchronous Clock Gate With Glitch Protection

Номер патента: US20090096483A1. Автор: Ruediger Kuhn. Владелец: Texas Instruments Inc. Дата публикации: 2009-04-16.

LOW CLOCK-POWER INTEGRATED CLOCK GATING CELL

Номер патента: US20140184271A1. Автор: Gurumurthy Girishankar,Vasishta Mahesh Ramdas. Владелец: . Дата публикации: 2014-07-03.

LOW POWER INTEGRATED CLOCK GATING CELL USING CONTROLLED INVERTED CLOCK

Номер патента: US20180287610A1. Автор: BERZINS Matthew,LIM James Jung. Владелец: . Дата публикации: 2018-10-04.

Use the integrated clock gate (ICG) of clock cascaded complementary switching logic

Номер патента: CN104049713B. Автор: M.S.伯津斯,P.U.肯卡雷. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-10-19.

Systems and methods using improved clock gating cells

Номер патента: TW201032020A. Автор: Martin Saint-Laurent,Varun Verma,Animesh Datta,Prayag B Patel. Владелец: Qualcomm Inc. Дата публикации: 2010-09-01.

Clock Gated Delay Line Based On Setting Value

Номер патента: US20150061743A1. Автор: Balasubramanian Suresh. Владелец: Cavium, Inc.. Дата публикации: 2015-03-05.

CLOCK GATED FLIP-FLOP

Номер патента: US20160065190A1. Автор: Paul Gideon. Владелец: MARVELL WORLD TRADE LTD. Дата публикации: 2016-03-03.

Low power clock gated flip-flops

Номер патента: US20150070063A1. Автор: Girishankar GURUMURTHY,Mahesh Ramdas Vasishta. Владелец: Texas Instruments Inc. Дата публикации: 2015-03-12.

METAL ON BOTH SIDES WITH CLOCK GATED-POWER AND SIGNAL ROUTING UNDERNEATH

Номер патента: US20170077030A1. Автор: Jun Kimin,MORROW Patrick,Nelson Donald W.. Владелец: . Дата публикации: 2017-03-16.

INTEGRATED CLOCK GATING CELL AND INTEGRATED CIRCUIT INCLUDING THE SAME

Номер патента: US20210099173A1. Автор: KIM Ahreum. Владелец: . Дата публикации: 2021-04-01.

STORAGE ELEMENT WITH CLOCK GATING

Номер патента: US20210143802A1. Автор: KUENEMUND Thomas,Poeppelmann Thomas. Владелец: . Дата публикации: 2021-05-13.

Metal on both sides with clock gated-power and signal routing underneath

Номер патента: US20190122985A1. Автор: Patrick Morrow,Kimin Jun,Donald W. Nelson. Владелец: Intel Corp. Дата публикации: 2019-04-25.

CLOCK-GATED SYNCHRONIZER

Номер патента: US20140225655A1. Автор: RASOULI Seid Hadi,DATTA Animesh,KWON Ohsang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2014-08-14.

INTEGRATED CLOCK GATING CELL AND INTEGRATED CIRCUIT INCLUDING THE SAME

Номер патента: US20210194486A1. Автор: KIM Ahreum. Владелец: . Дата публикации: 2021-06-24.

CLOCK GATING CELL FOR LOW SETUP TIME FOR HIGH FREQUENCY DESIGNS

Номер патента: US20180167058A1. Автор: Chen Xiangdong,Boynapalli Venugopal,RASOULI Seid Hadi. Владелец: . Дата публикации: 2018-06-14.

INTERNAL CLOCK GATED CELL

Номер патента: US20170170829A1. Автор: LU Lee-Chung,HSIEH Shang-Chih,Liu Chi-Lin. Владелец: . Дата публикации: 2017-06-15.

FINE GRAIN DATA-BASED CLOCK GATING

Номер патента: US20140266334A1. Автор: Pham Ha M.,Shin Jin-Uk. Владелец: ORACLE INTERNATIONAL CORPORATION. Дата публикации: 2014-09-18.

Low power toggle latch-based flip-flop including integrated clock gating logic

Номер патента: US20150200652A1. Автор: Matthew Berzins,Christina Wells. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-07-16.

CLOCK GATED FLIP-FLOP

Номер патента: US20170194945A1. Автор: Paul Gideon. Владелец: MARVELL WORLD TRADE LTD.. Дата публикации: 2017-07-06.

LOW POWER INTEGRATED CLOCK GATING CELL WITH INTERNAL CONTROL SIGNAL

Номер патента: US20170201241A1. Автор: BERZINS Matthew,LIM James Jung. Владелец: . Дата публикации: 2017-07-13.

CLOCK-GATING CELL WITH LOW AREA, LOW POWER, AND LOW SETUP TIME

Номер патента: US20160211846A1. Автор: RASOULI Seid Hadi,DATTA Animesh,DILLEN Steven James. Владелец: . Дата публикации: 2016-07-21.

LOW-POWER LOW-SETUP INTEGRATED CLOCK GATING CELL WITH COMPLEX ENABLE SELECTION

Номер патента: US20200204180A1. Автор: BERZINS Matthew,MOTAGI Lalitkumar. Владелец: . Дата публикации: 2020-06-25.

RELATIVE TIMED CLOCK GATING CELL

Номер патента: US20170244392A1. Автор: Stevens Kenneth S.,Lee William. Владелец: . Дата публикации: 2017-08-24.

CLOCK GATING CELL WITH LOW POWER AND INTEGRATED CIRCUIT INCLUDING THE SAME

Номер патента: US20210320660A1. Автор: KIM Ahreum,LEE Youngo. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2021-10-14.

Double Half Latch for Clock Gating

Номер патента: US20160285440A1. Автор: Shin Jin-Uk,Huang He,Pham Ha,Joshi Mayur. Владелец: . Дата публикации: 2016-09-29.

TIME BORROWING FLIP-FLOP WITH CLOCK GATING SCAN MULTIPLEXER

Номер патента: US20180278243A1. Автор: AGARWAL Amit,HSU Steven K.,KRISHNAMURTHY Ram K.,Realov Simeon. Владелец: Intel Corporation. Дата публикации: 2018-09-27.

RELATIVE TIMED CLOCK GATING CELL

Номер патента: US20160365857A1. Автор: Stevens Kenneth S.,Lee William. Владелец: . Дата публикации: 2016-12-15.

SOURCE SYNCHRONOUS BUS CLOCK GATING SYSTEM

Номер патента: US20150372802A1. Автор: Thiruvengadam Sudha,Sadowski Gregory,Iyer Arun. Владелец: . Дата публикации: 2015-12-24.

Fine grain data-based clock gating

Номер патента: US8860484B2. Автор: Ha M Pham,Jin-Uk Shin. Владелец: Oracle International Corp. Дата публикации: 2014-10-14.

Metal on both sides with clock gated power and signal routing underneath

Номер патента: TW201606892A. Автор: 唐諾德 尼爾森,派翠克 摩洛,全箕玟. Владелец: 英特爾股份有限公司. Дата публикации: 2016-02-16.

Metal on both sides with clock gated power and signal routing underneath

Номер патента: EP3155666A1. Автор: Patrick Morrow,Kimin Jun,Donald W. Nelson. Владелец: Intel Corp. Дата публикации: 2017-04-19.

Metal on both sides with clock gated power and signal routing underneath

Номер патента: EP3155666B1. Автор: Patrick Morrow,Kimin Jun,Donald W. Nelson. Владелец: Intel IP Corp. Дата публикации: 2021-05-12.

Clock gated flip-flop

Номер патента: WO2016030795A1. Автор: Gideon Paul. Владелец: MARVELL WORLD TRADE LTD.. Дата публикации: 2016-03-03.

Dynamic clock gating in a network device

Номер патента: US8873576B2. Автор: Sachin P. Kadu,John J. Dull. Владелец: Broadcom Corp. Дата публикации: 2014-10-28.

Asynchronous clock gate with glitch protection

Номер патента: EP2208284B1. Автор: Ruediger Kuhn. Владелец: TEXAS INSTRUMENTS DEUTSCHLAND GMBH. Дата публикации: 2019-05-15.

Method and apparatus for power saving in semiconductor devices

Номер патента: WO2022246636A1. Автор: Jian Luo,Zhuqin DUAN. Владелец: Yangtze Memory Technologies Co., Ltd.. Дата публикации: 2022-12-01.

Method and apparatus for power saving in semiconductor devices

Номер патента: US20240233781A1. Автор: Jian Luo,Zhuqin DUAN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-07-11.

Method for gating clock signals using late arriving enable signals

Номер патента: US09672305B1. Автор: Rohit Kumar,Suparn Vats,Daniel J. Flees. Владелец: Apple Inc. Дата публикации: 2017-06-06.

Signal sampling gate circuit

Номер патента: GB2094579A. Автор: . Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1982-09-15.

Buffer circuit for gating circuits

Номер патента: GB1241746A. Автор: Robert William Polkinghorn,Arthur Francis Pfeifer,Robert Frank Hartmann,Robert Raniel Schull. Владелец: North American Rockwell Corp. Дата публикации: 1971-08-04.

Electrical pulse generator chain circuits and gating circuits embodying such chain circuits

Номер патента: US2906869A. Автор: Kramskoy Charles Mark. Владелец: EMI Ltd. Дата публикации: 1959-09-29.

Burst gate circuit

Номер патента: CA1112755A. Автор: James B. Webb,William A. Lagoni. Владелец: RCA Corp. Дата публикации: 1981-11-17.

Integrated injection logic gate circuit

Номер патента: CA1047609A. Автор: Cornelis Mulder,Henricus E. J. Wulms. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1979-01-30.

Potential generating circuit, inverter, delay circuit and logic gate circuit

Номер патента: CN114553216A. Автор: 朱磊,陈志勇,罗金来. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-27.

GATE CIRCUIT AND GATE DRIVE CIRCUIT FOR POWER SEMICONDUCTOR SWITCH

Номер патента: US20200036379A1. Автор: Liu Jun,Wang Ming,Ying Jianping,Huang Xiaobo. Владелец: DELTA ELECTRONICS,INC.. Дата публикации: 2020-01-30.

HIGH-FREQUENCY-ISOLATION GATE DRIVER CIRCUIT AND GATE CIRCUIT DRIVING METHOD

Номер патента: US20170331471A1. Автор: Yuzurihara Itsuo,Kunitama Hiroshi. Владелец: KYOSAN ELECTRIC MFG. CO., LTD.. Дата публикации: 2017-11-16.

Semiconductor integrated circuit device having power gating circuit

Номер патента: KR100703720B1. Автор: 김혁,어익수,신영수,김형옥. Владелец: 한국과학기술원. Дата публикации: 2007-04-09.

Colour phase correction in SECAM system TV receiver - has switching circuit with two comparators and gating circuits

Номер патента: FR2316823A1. Автор: . Владелец: Sony Corp. Дата публикации: 1977-01-28.

Semiconductor integrated circuit having tri-state logic gate circuit

Номер патента: EP0905904B1. Автор: Masakuni Kawagoe. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-10-29.

AC voltage circuit with several semiconductor amplifier elements operated in a base (gate) circuit

Номер патента: DE2646035C3. Автор: Gerhard Dipl.-Ing. 8000 Muenchen Ritter. Владелец: SIEMENS AG. Дата публикации: 1980-01-17.

High-frequency-isolation gate driver circuit and gate circuit driving method

Номер патента: EP3220522A1. Автор: Itsuo Yuzurihara,Hiroshi Kunitama. Владелец: Kyosan Electric Manufacturing Co Ltd. Дата публикации: 2017-09-20.

Multi-function logic gate circuits

Номер патента: US3678292A. Автор: Daniel Hampel. Владелец: RCA Corp. Дата публикации: 1972-07-18.

Signal gating circuit and vehicle starting control circuit

Номер патента: US3327138A. Автор: Robert D Smith. Владелец: Donald Heaton. Дата публикации: 1967-06-20.

Gating circuits

Номер патента: GB2136231B. Автор: Thomas R Anderson,Howard Louis Skolnik,Bruce Conrad Trump. Владелец: Burr Brown Research Corp. Дата публикации: 1986-09-03.

High-speed gate circuit

Номер патента: US3171044A. Автор: Charles S Coffey. Владелец: Edgerton Germeshausen and Grier Inc. Дата публикации: 1965-02-23.

Beam index colour gate circuit

Номер патента: AU523796B2. Автор: Katsuo Isono,Tomoyoshi Imayasu. Владелец: Sony Corp. Дата публикации: 1982-08-12.

"not and" gate circuits

Номер патента: US2879411A. Автор: Alfred H Faulkner. Владелец: General Telephone Laboratories Inc. Дата публикации: 1959-03-24.

Beam index colour gate circuit

Номер патента: AU5303279A. Автор: Katsuo Isono,Tomoyoshi Imayasu. Владелец: Sony Corp. Дата публикации: 1980-06-12.

Signal sampling gate circuit

Номер патента: GB2094579B. Автор: . Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1984-10-03.

Cml exclusive nor gate circuit

Номер патента: JPS5566133A. Автор: Eru Douusetsuto Richiyaado. Владелец: Burroughs Corp. Дата публикации: 1980-05-19.

Diode gating circuit

Номер патента: US2900503A. Автор: Ivan L Joy. Владелец: Individual. Дата публикации: 1959-08-18.

Electronic gate circuit

Номер патента: US2868971A. Автор: Carl R Wischmeyer. Владелец: JERSEY PRODUCTION RESEARCH Co. Дата публикации: 1959-01-13.

Pulse gating circuit

Номер патента: US2892084A. Автор: Jr Dwight D Wilcox. Владелец: Individual. Дата публикации: 1959-06-23.

Logic gate circuit, latch, and trigger

Номер патента: EP4383574A4. Автор: Ying Wu,Weiliang JING,Zhaozhao HOU,Jeffrey XU,Renshi FAN. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-09-25.

SEMICONDUCTOR APPARATUS INCLUDING A POWER GATING CIRCUIT

Номер патента: US20180358960A1. Автор: KIM Woongrae. Владелец: SK HYNIX INC.. Дата публикации: 2018-12-13.

Low current logic gate circuit

Номер патента: JP5579264B2. Автор: スピッツ アーウィン,セー エム ファン デン ウーファー レオン. Владелец: EPCOS AG. Дата публикации: 2014-08-27.

An emitter coupled logic gate circuit

Номер патента: EP0186260A2. Автор: Mei Hsu,Thomas Wong. Владелец: Advanced Micro Devices Inc. Дата публикации: 1986-07-02.

Gate circuit

Номер патента: JPS5230149A. Автор: Osamu Sakai,Hiroshi Kawamoto. Владелец: HITACHI LTD. Дата публикации: 1977-03-07.

Diode gate circuit

Номер патента: JPS54148358A. Автор: Masaaki Kato. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1979-11-20.

Transmission gate circuit

Номер патента: JPS61200717A. Автор: アンドリユ ゴードン フランシス デイングウオール,ビクタ ザツズ. Владелец: RCA Corp. Дата публикации: 1986-09-05.

Nand gate circuit

Номер патента: KR930005652B1. Автор: 마사노부 요시다. Владелец: 후지쓰 가부시끼가이샤. Дата публикации: 1993-06-23.

An off-gate circuit for a gate-turn-off thyristor

Номер патента: EP0228226A2. Автор: Yukinori Patent Division Tsuruta,Kazuto Patent Division Kawakami. Владелец: Toshiba Corp. Дата публикации: 1987-07-08.

Gate circuit arrangement for an electronic counter

Номер патента: DE3226032C2. Автор: William Grant Beaverton Oreg. Wilke. Владелец: Tektronix Inc. Дата публикации: 1984-12-13.

Sate machine using the OR gate circuit

Номер патента: KR100314732B1. Автор: 진경천. Владелец: 박종섭. Дата публикации: 2002-01-17.

POWER GATING CIRCUIT

Номер патента: US20140015590A1. Автор: BAECK Sang-Yeop,KIM Jin-Sung,YOON Jang-Hwan. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-01-16.

NAND GATE CIRCUIT, DISPLAY BACK PLATE, DISPLAY DEVICE AND ELECTRONIC DEVICE

Номер патента: US20160028398A1. Автор: Wu Zhongyuan,DUAN Liye,Song Danna. Владелец: BOE Technology Group Co., Ltd.. Дата публикации: 2016-01-28.

POWER GATING CIRCUIT AND CONTROL METHOD FOR POWER GATING SWITCH THEREOF

Номер патента: US20170040980A1. Автор: Lin Che-Min. Владелец: . Дата публикации: 2017-02-09.

PASS GATE CIRCUIT

Номер патента: US20140184305A1. Автор: WANG FEI,ZHENG Kunkun. Владелец: STMicroelectronics R&D (Shanghai) Co. Ltd.. Дата публикации: 2014-07-03.

DATA-RETAINED POWER-GATING CIRCUIT AND DEVICES INCLUDING THE SAME

Номер патента: US20140266401A1. Автор: Lee Jae Gon,Kahng Andrew B.,PARK BONG IL,KANG Seok Hyeong. Владелец: . Дата публикации: 2014-09-18.

Transmission gate circuit

Номер патента: US20200195243A1. Автор: Hsin-Cheng HSU,Po-Ching Lin,Tay-Her Tsaur. Владелец: Realtek Semiconductor Corp. Дата публикации: 2020-06-18.

POWER GATING CIRCUIT FOR HOLDING DATA IN LOGIC BLOCK

Номер патента: US20190229731A1. Автор: KIM Wook,Shin Insub. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2019-07-25.

TRANSMISSION GATE CIRCUIT

Номер патента: US20160294378A1. Автор: Zhang Wenzhong,STOCKINGER MICHAEL A.. Владелец: . Дата публикации: 2016-10-06.

SEMICONDUCTOR APPARATUS INCLUDING A POWER GATING CIRCUIT

Номер патента: US20190348981A1. Автор: KIM Woongrae. Владелец: SK HYNIX INC.. Дата публикации: 2019-11-14.

POWER GATE CIRCUITS FOR SEMICONDUCTOR DEVICES

Номер патента: US20180366422A1. Автор: MATSUBARA Yasushi. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2018-12-20.

Gate circuit of gate turn-off thyristor

Номер патента: JPS5684033A. Автор: Takashi Sano,Kazunori Masuda. Владелец: Toyo Electric Manufacturing Ltd. Дата публикации: 1981-07-09.

Gate circuit

Номер патента: JPS5746536A. Автор: Masaru Uya. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1982-03-17.

Gate circuit

Номер патента: JPS533160A. Автор: Teruhiro Yoshida. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 1978-01-12.

Hysteresis gate circuit

Номер патента: JPS5457856A. Автор: Kenji Kaneko,Takahiro Okabe,Tomoyuki Watabe. Владелец: HITACHI LTD. Дата публикации: 1979-05-10.

Sampling gate circuit

Номер патента: JPS5454564A. Автор: Kensuke Kobayashi. Владелец: Iwatsu Electric Co Ltd. Дата публикации: 1979-04-28.

Power gating circuit and semiconductor device comprising the same

Номер патента: KR101004670B1. Автор: 김성운,김성남,오명훈,신치훈. Владелец: 한국전자통신연구원. Дата публикации: 2011-01-04.

Hysteresis gate circuit

Номер патента: JPS5292466A. Автор: Toru Kobayashi,Kenji Kaneko,Takahiro Okabe. Владелец: HITACHI LTD. Дата публикации: 1977-08-03.

Gate circuit for gate turn-off thyristor

Номер патента: JPS5533350A. Автор: Hiroyuki Kitamura. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1980-03-08.

Semiconductor logic gate circuit

Номер патента: JPS52133747A. Автор: Hatsuhide Igarashi. Владелец: Nippon Electric Co Ltd. Дата публикации: 1977-11-09.

Complementary bi-mis gate circuit

Номер патента: KR900000830B1. Автор: 데쓰 다니자와. Владелец: 후지쑤 가부시끼가이샤. Дата публикации: 1990-02-17.

Gate circuit of field effect thyristor

Номер патента: JPS54113238A. Автор: Kenichi Onda,Kiichi Tokunaga. Владелец: HITACHI LTD. Дата публикации: 1979-09-04.

Gate circuit of gate turn-off thyristor

Номер патента: JPS5623029A. Автор: Juichi Irie. Владелец: Sharp Corp. Дата публикации: 1981-03-04.

Gate circuit for a camshaft

Номер патента: DE102011002142B4. Автор: Michael Wahl,Wolfgang Eberle,Klaus Fuoss,Siegfried Luhmann,Matthias Benz,Andreas Leichtweiss. Владелец: Dr Ing HCF Porsche AG. Дата публикации: 2022-07-28.

Wired or logic gate circuit

Номер патента: KR0117117Y1. Автор: 강문성. Владелец: 문정환. Дата публикации: 1998-06-01.

Gate circuit of self-extinguishing type semiconductor element

Номер патента: JPS6022464A. Автор: Hiroshi Narita,Yoshimi Kurotaki,博 成田,黒滝 義已. Владелец: HITACHI LTD. Дата публикации: 1985-02-04.

Gate circuit

Номер патента: JPS5534551A. Автор: Haruo Takahashi. Владелец: Nippon Electric Co Ltd. Дата публикации: 1980-03-11.

Gate circuit

Номер патента: JPS5513572A. Автор: Koichi Tanaka,Kiyoshi Amasawa. Владелец: Clarion Co Ltd. Дата публикации: 1980-01-30.

Positive logic multiinput nand gate circuit

Номер патента: JPS57192137A. Автор: Fumiaki Katano. Владелец: Nippon Electric Co Ltd. Дата публикации: 1982-11-26.

Gate circuit for thyristor

Номер патента: AU525044B2. Автор: Nagataka Seki. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1982-10-14.

Complementary bi-mis gate circuit

Номер патента: EP0172350A1. Автор: Tetsu Tanizawa. Владелец: Fujitsu Ltd. Дата публикации: 1986-02-26.

Logical gate circuit

Номер патента: JPS5844821A. Автор: Terumasa Fukuda,福田 照正. Владелец: Nippon Electric Co Ltd. Дата публикации: 1983-03-15.

Josephson self-gate circuit

Номер патента: JPS60254917A. Автор: Tatsuya Ohori,達也 大堀. Владелец: Fujitsu Ltd. Дата публикации: 1985-12-16.

Gate circuit

Номер патента: JPS53123637A. Автор: Susumu Mori. Владелец: Nippon Electric Co Ltd. Дата публикации: 1978-10-28.

Network employing reset means for bistable operating gating circuits

Номер патента: US3119935A. Автор: Anatol G Samusenko. Владелец: RCA Corp. Дата публикации: 1964-01-28.

Gate circuit of gate turn-off thyristor

Номер патента: JPS5545276A. Автор: Kenichi Onda,Hisao Amano,Sadaji Tashiro. Владелец: Hitachi Metals Ltd. Дата публикации: 1980-03-29.

A kind of transmission gate circuit

Номер патента: CN107094013B. Автор: 张波,刘振国,杨健,冯磊,方健,王科竣,陈智昕. Владелец: University of Electronic Science and Technology of China. Дата публикации: 2019-02-12.

Logic gate circuit

Номер патента: KR900000487B1. Автор: 데쯔 다니자와,오삼 오바. Владелец: 야마모도 다꾸마. Дата публикации: 1990-01-30.

Emitter coupling and gate circuit

Номер патента: JPS62165431A. Автор: Masahiro Goto,Seigo Naito,Hiroshi Mabuchi,眞宏 後藤,内藤 清吾,馬渕 浩. Владелец: Hitachi Cable Ltd. Дата публикации: 1987-07-22.

Frequency dependant filter for analogue signals - uses gating circuits and inductive AND-OR capacitive loops

Номер патента: DE2517099A1. Автор: Alfred Prof Dr Fettweis. Владелец: SIEMENS AG. Дата публикации: 1976-10-21.

Hysteresis gate circuit device

Номер патента: JPS5732132A. Автор: Kazuyuki Tanaka,Kunihiko Hirashima. Владелец: Pioneer Electronic Corp. Дата публикации: 1982-02-20.

Nand gate circuit

Номер патента: KR960004564Y1. Автор: 김성식. Владелец: 금성일렉트론 주식회사. Дата публикации: 1996-06-03.

Gating circuits for semiconductors

Номер патента: GB1585890A. Автор: . Владелец: General Electric Co. Дата публикации: 1981-03-11.

Transistor gate circuit

Номер патента: US2956175A. Автор: Bothwell Theodore Paul. Владелец: RCA Corp. Дата публикации: 1960-10-11.

Electric gating circuits

Номер патента: US3207927A. Автор: Wells Peter. Владелец: General Electric Co PLC. Дата публикации: 1965-09-21.

Gate circuit of gate turn-off thyristor

Номер патента: JPS57186834A. Автор: Eiji Akagawa,Hideo Koo,Shunichi Yuya,Atsushi Kaga. Владелец: Mitsubishi Electric Corp. Дата публикации: 1982-11-17.

Diode gate circuit

Номер патента: JPS51131254A. Автор: Junji Asakura,Yoshitaka Osakabe. Владелец: Nippon Electric Co Ltd. Дата публикации: 1976-11-15.

Series gate circuit

Номер патента: JPS6473818A. Автор: Mitsuya Kawada. Владелец: Fujitsu Ltd. Дата публикации: 1989-03-20.

Gate circuit of gate turn-off thyristor

Номер патента: JPS56119529A. Автор: Hiroshi Fukui,Arata Kimura,Kiichi Tokunaga. Владелец: HITACHI LTD. Дата публикации: 1981-09-19.

Manufacture of complementary mos gate circuit device

Номер патента: JPS61274354A. Автор: Hiroshi Nishida,宏 西田. Владелец: NEC Corp. Дата публикации: 1986-12-04.

A high speed current mode logic gate circuit architecture

Номер патента: CA2399744A1. Автор: James Wei. Владелец: Sirific Wireless ULC. Дата публикации: 2004-02-27.

Transmission gate circuit

Номер патента: KR100268948B1. Автор: 신동영. Владелец: 현대반도체주식회사. Дата публикации: 2000-12-01.

Gate circuit for gate turn-off thyristor

Номер патента: JPS57208731A. Автор: Akira Uenishi,Hideo Koo,Shunichi Yuya,Atsushi Kaga. Владелец: Mitsubishi Electric Corp. Дата публикации: 1982-12-21.

Bistable electronic gate circuit

Номер патента: DE1168484B. Автор: Victor Joseph Habisohn. Владелец: International Standard Electric Corp. Дата публикации: 1964-04-23.

Cmos logic gate circuit

Номер патента: JPS6282721A. Автор: Satoru Kamoshita,Kiyohisa Yamaga,山賀 清久,鴨志田 覚. Владелец: HITACHI LTD. Дата публикации: 1987-04-16.

Logic gate circuit

Номер патента: JPS5642434A. Автор: Susumu Mori. Владелец: Nippon Electric Co Ltd. Дата публикации: 1981-04-20.

Gate circuit of gate turn-off thyristor

Номер патента: JPS5691676A. Автор: Yukinori Genda. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1981-07-24.

C-gate circuit

Номер патента: KR100469762B1. Автор: 김학윤. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-02-02.

An emitter coupled logic gate circuit

Номер патента: EP0186260A3. Автор: Mei Hsu,Thomas Wong. Владелец: Advanced Micro Devices Inc. Дата публикации: 1988-01-07.

Gate circuits and applications

Номер патента: FR1364151A. Автор: James John Drage,Norbert Kitz,John George Lloyd. Владелец: Bell Punch Co Ltd. Дата публикации: 1964-06-19.

Burst signal gating circuit

Номер патента: CA938714A. Автор: Wakai Shuzo,Sugano Hitoshi. Владелец: Matsushita Electronics Corp. Дата публикации: 1973-12-18.

Combination chrominance amplifier,burst amplifier,and burst gate circuit for a color television receiver

Номер патента: US3469022A. Автор: William P Iannuzzi. Владелец: RCA Corp. Дата публикации: 1969-09-23.

Gate circuit for gate turn-off thyristor

Номер патента: JPS5778224A. Автор: Shuji Musha. Владелец: HITACHI LTD. Дата публикации: 1982-05-15.

Logical gate circuit

Номер патента: JPS63227116A. Автор: Toru Takada,透 高田,Masayuki Ino,井野 正行. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1988-09-21.

Combiner/demultiplexer and DC channel adaptive gating circuit

Номер патента: CN104883751A. Автор: 陶沁. Владелец: Comba Telecom Technology Guangzhou Ltd. Дата публикации: 2015-09-02.

Coincidence gate circuit with low-ohmic load

Номер патента: US3482112A. Автор: Helmut Weber,Heinz Gumin. Владелец: SIEMENS AG. Дата публикации: 1969-12-02.

Ecl gate circuit

Номер патента: JPS60113525A. Автор: Kazutomi Hatanaka,畠中 一臣. Владелец: Sharp Corp. Дата публикации: 1985-06-20.

Bridge gating circuit with floating bias source

Номер патента: US2990477A. Автор: Robert M Macintyre. Владелец: Thompson Ramo Wooldridge Inc. Дата публикации: 1961-06-27.

Microstrip gate circuit

Номер патента: JPS5985144A. Автор: Yoshio Tanimoto,善夫 谷本. Владелец: Nippon Electric Co Ltd. Дата публикации: 1984-05-17.

Gate circuit of compound semiconductor element

Номер патента: JPS60106178A. Автор: Akio Nakagawa,Hiromichi Ohashi,明夫 中川,大橋 弘道. Владелец: Toshiba Corp. Дата публикации: 1985-06-11.

A.c. bridge gate circuit being controlled by a differential amplifier

Номер патента: US3471715A. Автор: Joseph N Castelli. Владелец: US Department of Army. Дата публикации: 1969-10-07.

Solid state gating circuit

Номер патента: US3350577A. Автор: Douglas A Moore,Jr Russell Kirby,John R Buck. Владелец: Northrop Grumman Corp. Дата публикации: 1967-10-31.

Noise gating circuit for synchronizing signal separator

Номер патента: US3428746A. Автор: David F Graf. Владелец: Thomas International Corp. Дата публикации: 1969-02-18.

Logic gate circuit with limited transient bounce in potential of the internal voltage supply lines

Номер патента: EP0460758A2. Автор: Derrell Johnson. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1991-12-11.

Signal gating circuit

Номер патента: CA931639A. Автор: Yokoyama Hideo. Владелец: Sony Corp. Дата публикации: 1973-08-07.

Warp knitter control - has comparator and gate circuits to control warp beam advance

Номер патента: DE3017715A1. Автор: Akiro Fukui Ikeuchi,Masaaki Osaka Sakamoto. Владелец: Kombinat Textima VEB. Дата публикации: 1981-04-09.

Single pole, double throw electronic gate circuit

Номер патента: US2986659A. Автор: Anthony M Ioakimidis. Владелец: Deutsche ITT Industries GmbH. Дата публикации: 1961-05-30.

COMPLEMENTARY BI-MIS GATE CIRCUIT

Номер патента: DE3573970D1. Автор: Tetsu Tanizawa. Владелец: Fujitsu Ltd. Дата публикации: 1989-11-30.

Two-way photo coupler gate circuit

Номер патента: JPS53110359A. Автор: Tsutomu Kawamura. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1978-09-27.

Fet logic gate circuits

Номер патента: CA996202A. Автор: Toyoki Takemoto. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1976-08-31.

Variable level gating circuit

Номер патента: US2986655A. Автор: Neil L Wiseman,Clyde W Baxter. Владелец: General Dynamics Corp. Дата публикации: 1961-05-30.

Exclusive OR gate circuit

Номер патента: JP2956847B2. Автор: ジミー サンウオー,イクオ,ボージラル サザー,ムーケツシユ. Владелец: ENU SHII AARU INTERN Inc. Дата публикации: 1999-10-04.

Gate circuit

Номер патента: JPS60249490A. Автор: Tomoshi Iwasaki,岩崎 智志. Владелец: Pioneer Corp. Дата публикации: 1985-12-10.

Logic gate circuit structure

Номер патента: CN113098493B. Автор: 李新,应战,孙豳. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-30.

Transmission gate circuit, matrix switch and electronic device

Номер патента: WO2020056685A1. Автор: 鲁海生,李赞,邹小卫. Владелец: 华为技术有限公司. Дата публикации: 2020-03-26.

Logical gate circuit

Номер патента: JPS5915331A. Автор: Hideki Matsuura,英樹 松浦. Владелец: Nippon Electric Co Ltd. Дата публикации: 1984-01-26.

Bistable electronic gate circuit

Номер патента: BE614287A. Автор: V J Habisohn. Владелец: Bell Telephone Mfg. Дата публикации: 1962-08-23.

Power gating circuit for holding data in logic block

Номер патента: KR102499010B1. Автор: 김욱,신인섭. Владелец: 삼성전자주식회사. Дата публикации: 2023-02-15.

Logic gate circuit using mos-transistor

Номер патента: KR0182028B1. Автор: 김범연. Владелец: 김광호. Дата публикации: 1999-04-15.

Electronic gate circuit

Номер патента: US2831971A. Автор: Carl R Wischmeyer. Владелец: Exxon Research and Engineering Co. Дата публикации: 1958-04-22.

Gate circuit with means for inhibiting output signals

Номер патента: US3437843A. Автор: James L Phillips. Владелец: Texas Instruments Inc. Дата публикации: 1969-04-08.

Gate circuit

Номер патента: CA931227A. Автор: Ohsawa Mitsuo,Mino Shinziro. Владелец: Sony Corp. Дата публикации: 1973-07-31.

GATE CIRCUIT FOR A UNIVERSAL COUNTER

Номер патента: DE3226032A1. Автор: William Grant 97005 Beaverton Oreg. Wilke. Владелец: Tektronix Inc. Дата публикации: 1983-02-03.

Shunt gating circuit

Номер патента: US2817015A. Автор: Ralph M W Johnson. Владелец: Hughes Aircraft Co. Дата публикации: 1957-12-17.

Gate circuit for the passage of electrical impulses

Номер патента: DE1289105B. Автор: MUELLER,Dipl-Ing Georg. Владелец: Individual. Дата публикации: 1969-02-13.

Tri-state gate, circuit and semiconductor structure including tri-state gate

Номер патента: CN104040894B. Автор: 理查德·费朗. Владелец: Soitec SA. Дата публикации: 2019-04-23.

Complementary bimis tri-state gate circuit

Номер патента: JPS6175618A. Автор: Satoru Tanizawa,谷澤 哲. Владелец: Fujitsu Ltd. Дата публикации: 1986-04-18.

Logic gate circuit

Номер патента: JPH0622326B2. Автор: 将弘 岩村,洋二 西尾,郁朗 増田. Владелец: HITACHI LTD. Дата публикации: 1994-03-23.

DC gate circuit

Номер патента: FR1223598A. Автор: . Владелец: Daystrom Inc. Дата публикации: 1960-06-17.

Transmission gate circuit

Номер патента: CN103916115B. Автор: 王飞,郑鲲鲲. Владелец: STMicroelectronics Shanghai R&D Co Ltd. Дата публикации: 2017-11-14.

Logical gate circuit

Номер патента: JPS56157130A. Автор: Susumu Mori. Владелец: Nippon Electric Co Ltd. Дата публикации: 1981-12-04.

Pulse-on-gate circuit for gate turn-off thyristor

Номер патента: JPS57192131A. Автор: Yoshiaki Kato,Akira Matsunaga,Shigeo Tomita. Владелец: Hitachi Haramachi Electronics Ltd. Дата публикации: 1982-11-26.

Gate circuit

Номер патента: US3051845A. Автор: Robert K York. Владелец: Bell Telephone Laboratories Inc. Дата публикации: 1962-08-28.

Dial tone gating circuit

Номер патента: US2966556A. Автор: Henry R Hofmann. Владелец: Bell Telephone Laboratories Inc. Дата публикации: 1960-12-27.

Diode gate circuit

Номер патента: JPS54148359A. Автор: Isao Tashiro. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1979-11-20.

Low level transistor gating circuit

Номер патента: US3139536A. Автор: Robert K York. Владелец: Bell Telephone Laboratories Inc. Дата публикации: 1964-06-30.

Diode gating circuits

Номер патента: US2740888A. Автор: Arthur S Zukin. Владелец: Hughes Aircraft Co. Дата публикации: 1956-04-03.

Waveform level gating circuit employing a two tunnel-diode flip-flop controlled by another two tunnel-diode flip-flop

Номер патента: US3185863A. Автор: Sear Brian Elliott. Владелец: Sperry Rand Corp. Дата публикации: 1965-05-25.

Method and apparatus for power saving in semiconductor devices

Номер патента: US20220383911A1. Автор: Jian Luo,Zhuqin DUAN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2022-12-01.

Method and apparatus for power saving in semiconductor devices

Номер патента: US11967393B2. Автор: Jian Luo,Zhuqin DUAN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-04-23.

Semiconductor integrated circuit

Номер патента: US20180157306A1. Автор: Yoshihisa KOHARA. Владелец: Toshiba Corp. Дата публикации: 2018-06-07.

Preventing a-b-a race in a latch-based device

Номер патента: US20130257479A1. Автор: Dwight K. Elvey,Someshwar Gatty. Владелец: Advanced Micro Devices Inc. Дата публикации: 2013-10-03.

Clock control to increase robustness of a serial bus interface

Номер патента: US20210157388A1. Автор: Jorge Guajardo Merchan,Shalabh Jain,Sekar Kulandaivel. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2021-05-27.

Fractional frequency divider and flash memory controller

Номер патента: US20240080030A1. Автор: Chun-Cheng Lee,Tien-Hsing Yao,Sheng-l Hsu. Владелец: Silicon Motion Inc. Дата публикации: 2024-03-07.

Fractional frequency divider and flash memory controller

Номер патента: US11843379B2. Автор: Chun-Cheng Lee,Sheng-I Hsu,Tien-Hsing Yao. Владелец: Silicon Motion Inc. Дата публикации: 2023-12-12.

Power management for pci express

Номер патента: US20180217657A1. Автор: Ting Lok Song. Владелец: Altera Corp. Дата публикации: 2018-08-02.

Power management for PCI express

Номер патента: US09467120B1. Автор: Ting Lok Song. Владелец: Altera Corp. Дата публикации: 2016-10-11.

Power management for PCI express

Номер патента: US09965018B1. Автор: Ting Lok Song. Владелец: Altera Corp. Дата публикации: 2018-05-08.

Adaptive clock signal frequency scaling

Номер патента: US11868194B2. Автор: Chunfeng Hu,Rajan Raghvendra. Владелец: Maxlinear Inc. Дата публикации: 2024-01-09.

Adaptive clock signal frequency scaling

Номер патента: US20240143060A1. Автор: Chunfeng Hu,Rajan Raghvendra. Владелец: Maxlinear Inc. Дата публикации: 2024-05-02.

Thread-based clock enabling in a multi-threaded processor

Номер патента: EP1779237A2. Автор: Christopher H. Olson,Jeffrey S. Brooks,Robert T. Golla. Владелец: Sun Microsystems Inc. Дата публикации: 2007-05-02.

Power Management Architecture

Номер патента: US20170220100A1. Автор: Inder M. Sodhi,II Joseph T. DiBene,David A. Hartley. Владелец: Apple Inc. Дата публикации: 2017-08-03.

Methods of routing clock trees, integrated circuits and methods of designing integrated circuits

Номер патента: US12056430B2. Автор: Bonghyun LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-06.

Device and method for a multiplexor/demultiplexor reset scheme

Номер патента: US20140070865A1. Автор: Guy J. Fortier,Jonathan SHOWELL. Владелец: Individual. Дата публикации: 2014-03-13.

Level Balanced Clock Tree

Номер патента: US20160299524A1. Автор: Rahul Sharma,Karthik Rajagopal,Narayanan V. Thondugulam. Владелец: Apple Inc. Дата публикации: 2016-10-13.

Level balanced clock tree

Номер патента: US9823688B2. Автор: Rahul Sharma,Karthik Rajagopal,Narayanan V. Thondugulam. Владелец: Apple Inc. Дата публикации: 2017-11-21.

Trigger signal detection apparatus

Номер патента: US20130278313A1. Автор: Yoshihide Suzuki. Владелец: Toshiba Corp. Дата публикации: 2013-10-24.

Clock circuit and clock signal transmission method thereof

Номер патента: US09800243B2. Автор: Xing Huang,Shengli Yang. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2017-10-24.

Dynamic power reduction technique for ultrasound systems

Номер патента: US12038800B2. Автор: Michael R. Hansen,William R. Ogle,Mitchell S. KAPLAN,Justin M Coughlin. Владелец: Fujifilm Sonosite Inc. Дата публикации: 2024-07-16.

Dynamic power reduction technique for ultrasound systems

Номер патента: US20230341919A1. Автор: Michael R. Hansen,Justin M. Coughlin,William R. Ogle,Mitchell S. KAPLAN. Владелец: Fujifilm Sonosite Inc. Дата публикации: 2023-10-26.

System and method of clock tree synthesis

Номер патента: WO2010105182A1. Автор: Chandrasekhar Singasani. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2010-09-16.

No-enable setup clock gater based on pulse

Номер патента: US20210344344A1. Автор: Wenhao Li,Vivekanandan Venugopal,Hemangi U. Gajjewar,Shuyan Lei. Владелец: Apple Inc. Дата публикации: 2021-11-04.

Halbleitervorrichtung

Номер патента: DE102017110788A1. Автор: Se Hun Kim,Jae Gon Lee,AH Chan Kim,Youn Sik Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-07-19.

Asynchronous interface for transporting test-related data via serial channels

Номер патента: US11789487B2. Автор: Jean-Francois Cote,Benoit Nadeau-Dostie. Владелец: Siemens Industry Software Inc. Дата публикации: 2023-10-17.

In-field monitoring of on-chip thermal, power distribution network, and power grid reliability

Номер патента: EP4127746A1. Автор: Palkesh Jain,Rahul Gulati. Владелец: Qualcomm Inc. Дата публикации: 2023-02-08.

Clock mesh synthesis with gated local trees and activity driven register clustering

Номер патента: US20120299627A1. Автор: Jianchao Lu,Baris Taskin. Владелец: DREXEL UNIVERSITY. Дата публикации: 2012-11-29.

System and method for controlling at-speed testing of integrated circuits

Номер патента: EP4428551A1. Автор: Chandan GUPTA,Shikhar Makkar,Saumya Pandey. Владелец: NXP BV. Дата публикации: 2024-09-11.

System and method for controlling at-speed testing of integrated circuits

Номер патента: US20240295602A1. Автор: Chandan GUPTA,Shikhar Makkar,Saumya Pandey. Владелец: NXP BV. Дата публикации: 2024-09-05.

Clock management apparatus, clock frequency division module and system-on-chip

Номер патента: US20240146310A1. Автор: Li Tong,Yaqian HE. Владелец: Espressif Systems Shanghai Co Ltd. Дата публикации: 2024-05-02.

Image processing apparatus including line buffer and operation method thereof

Номер патента: US20240053918A1. Автор: Sangsu Park. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2024-02-15.

Self-resetting clock generator

Номер патента: US20230343389A1. Автор: Naveen Kumar,Pascal A. MEINERZHAGEN,Gururaj Shamanna,Jagadeesh Chandra Salaka. Владелец: Intel Corp. Дата публикации: 2023-10-26.

System and method to manage power throttling

Номер патента: US20220244767A1. Автор: Avinash Sodani,Chia-Hsin Chen,Ramacharan Sundararaman,Nikhil Jayakumar,Srinivas Sripada. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2022-08-04.

Method for identifying redundant signal paths for self-gating signals

Номер патента: US8543962B2. Автор: Ben D. Jarrett. Владелец: Apple Inc. Дата публикации: 2013-09-24.

System and method for enhanced clocking operation

Номер патента: US09716505B2. Автор: Hector Sanchez. Владелец: NXP USA Inc. Дата публикации: 2017-07-25.

Circuit design apparatus, circuit design program, and circuit design method

Номер патента: US20070143726A1. Автор: Ryo Mizutani,Hiromichi Makishima,Seiji Shigihara,Yasutomo Honma. Владелец: Fujitsu Ltd. Дата публикации: 2007-06-21.

Method for Identifying Redundant Signal Paths for Self-gating Signals

Номер патента: US20120159410A1. Автор: Ben D. Jarrett. Владелец: Apple Inc. Дата публикации: 2012-06-21.

Method for identifying redundant signal paths for self-gating signals

Номер патента: US20130074020A1. Автор: Ben D. Jarrett. Владелец: Apple Inc. Дата публикации: 2013-03-21.

Semiconductor integrated circuit and method for desigining the same

Номер патента: US20130305208A1. Автор: Yasuyuki Nozuyama. Владелец: Toshiba Corp. Дата публикации: 2013-11-14.

Semiconductor integrated circuit and method for designing the same

Номер патента: US20120242368A1. Автор: Yasuyuki Nozuyama. Владелец: Toshiba Corp. Дата публикации: 2012-09-27.

Techniques For Synchronous Accesses To Storage Circuits

Номер патента: US20230118912A1. Автор: Jeffrey Schulz,Terence Magee. Владелец: Intel Corp. Дата публикации: 2023-04-20.

Device for automatic configuration of semiconductor integrated circuit

Номер патента: US20190267997A1. Автор: Hironori Sato,Hiroaki Muraoka. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2019-08-29.

Integrierte schaltung mit einer multi-height-standardzelle und verfahren zu deren herstellung

Номер патента: DE102019123821A1. Автор: Minsu Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-07-30.

Clock control in a system on a chip (soc)

Номер патента: EP4357878A1. Автор: Jean-Robert Tourret. Владелец: NXP BV. Дата публикации: 2024-04-24.

Clock control in a system on a chip (soc)

Номер патента: US20240126320A1. Автор: Jean-Robert Tourret. Владелец: NXP BV. Дата публикации: 2024-04-18.

Random access memory and corresponding method for managing a random access memory

Номер патента: US12073897B2. Автор: Marco Casarsa. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2024-08-27.

Methods and systems for functional analysis of an integrated circuit

Номер патента: US20170235864A1. Автор: Theodore Wilson. Владелец: Microsemi Solutions US Inc. Дата публикации: 2017-08-17.

Physical security protection for integrated circuits

Номер патента: GB2603549A. Автор: PEDERSEN Frode. Владелец: NORDIC SEMICONDUCTOR ASA. Дата публикации: 2022-08-10.

Physical security protection for integrated circuits

Номер патента: EP4341706A1. Автор: Frode Pedersen. Владелец: NORDIC SEMICONDUCTOR ASA. Дата публикации: 2024-03-27.

Physical security protection for integrated circuits

Номер патента: WO2022243515A1. Автор: Frode Pedersen. Владелец: NORDIC SEMICONDUCTOR ASA. Дата публикации: 2022-11-24.

Method for designing semiconductor integrated circuit

Номер патента: US9075946B2. Автор: Yasuyuki Nozuyama. Владелец: Toshiba Corp. Дата публикации: 2015-07-07.

Semiconductor integrated circuit and method for designing the same

Номер патента: US8508249B2. Автор: Yasuyuki Nozuyama. Владелец: Toshiba Corp. Дата публикации: 2013-08-13.

Integrated circuit including multi-height standard cell and method of designing the same

Номер патента: US20200243502A1. Автор: Min-Su Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-07-30.

Bias generator circuit, voltage generator circuit, communications device, and radar device

Номер патента: US09996099B2. Автор: Hiroshi Kimura. Владелец: Socionext Inc. Дата публикации: 2018-06-12.

Semiconductor integrated circuit and method for testing the same

Номер патента: US7872490B2. Автор: Hideaki Konishi,Masayasu Fukunaga. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2011-01-18.

Semiconductor integrated circuit and method for testing the same

Номер патента: US20100213970A1. Автор: Hideaki Konishi,Masayasu Fukunaga. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-08-26.

Ddr phy critical clock switching and gating architecture

Номер патента: US20240161808A1. Автор: Yong Xu,Yuxin Li,Boris Dimitrov Andreev,Vikas Mahendiyan. Владелец: Qualcomm Inc. Дата публикации: 2024-05-16.

Ddr phy critical clock switching and gating architecture

Номер патента: WO2024107505A1. Автор: Yong Xu,Yuxin Li,Boris Dimitrov Andreev,Vikas Mahendiyan. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2024-05-23.

Bias generator circuit, voltage generator circuit, communications device, and radar device

Номер патента: US20170192447A1. Автор: Hiroshi Kimura. Владелец: Socionext Inc. Дата публикации: 2017-07-06.

Scan test device and scan test method

Номер патента: US11789073B2. Автор: Po-Lin Chen. Владелец: Realtek Semiconductor Corp. Дата публикации: 2023-10-17.

Scan flip-flop circuit with dedicated clocks

Номер патента: US09606177B2. Автор: ABHISHEK Sharma,Daniel W. Bailey,Michael Q. Co. Владелец: Advanced Micro Devices Inc. Дата публикации: 2017-03-28.

Digital design component with scan clock generation

Номер патента: US20070022339A1. Автор: Charles Branch,Steven Bartling,Marc Royer,Cory Stewart. Владелец: Texas Instruments Inc. Дата публикации: 2007-01-25.

Registers

Номер патента: US20240003971A1. Автор: Matti Samuli Leinonen. Владелец: NORDIC SEMICONDUCTOR ASA. Дата публикации: 2024-01-04.

Degradation detector and method of detecting the aging of an integrated circuit

Номер патента: US09494641B2. Автор: Brian Smith,Stephen Felix,Roman Surgutchik,Tezaswi Raja. Владелец: Nvidia Corp. Дата публикации: 2016-11-15.

Macro-pixel display backplane

Номер патента: WO2021134001A1. Автор: Michael Yee,Daniel Henry Morris. Владелец: Facebook Technologies, LLC. Дата публикации: 2021-07-01.

Macro-pixel display backplane

Номер патента: EP4081850A1. Автор: Michael Yee,Daniel Henry Morris. Владелец: Meta Platforms Technologies LLC. Дата публикации: 2022-11-02.

Apparatuses and methods for bias temperature instability mitigation

Номер патента: US11967358B2. Автор: Yutaka Uemura,Yoshiya Komatsu. Владелец: Micron Technology Inc. Дата публикации: 2024-04-23.

Macro-pixel display backplane

Номер патента: US20230136987A1. Автор: Michael Yee,Daniel Henry Morris. Владелец: Meta Platforms Technologies LLC. Дата публикации: 2023-05-04.

Pre-delay on-die termination shifting

Номер патента: US10727840B2. Автор: Kallol Mazumder. Владелец: Micron Technology Inc. Дата публикации: 2020-07-28.

Apparatuses and methods for bias temperature instability mitigation

Номер патента: US20230386555A1. Автор: Yutaka Uemura,Yoshiya Komatsu. Владелец: Micron Technology Inc. Дата публикации: 2023-11-30.

Semiconductor device and method of scan test for thereof

Номер патента: US20240142519A1. Автор: Kazushi Nakamura. Владелец: Renesas Electronics Corp. Дата публикации: 2024-05-02.

Flexible one-hot decoding logic for clock controls

Номер патента: US20240094291A1. Автор: Mahmut Yilmaz,Vinod Pagalone,Munish Aggarwal,Doochul Shin. Владелец: Nvidia Corp. Дата публикации: 2024-03-21.

Divider-Less Phase Locked Loop

Номер патента: US20190319630A1. Автор: Ying-Chia Chen,Mike Chun-Hung Wang,Chen-Lun Lin,Wei-Jyun Wang,Pang-Ning Chen. Владелец: Kaikutek Inc. Дата публикации: 2019-10-17.

Low-ripple latch circuit for reducing short-circuit current effect

Номер патента: US09559674B2. Автор: Yu-Hsin Lin,Hung-Chieh Tsai,Chen-Yen Ho,Tze-Chien Wang. Владелец: MediaTek Inc. Дата публикации: 2017-01-31.

Reference-locked clock generator

Номер патента: US20190052280A1. Автор: Roc Berenguer Perez. Владелец: Innophase Inc. Дата публикации: 2019-02-14.

Hybrid synchronous/asynchronous counter

Номер патента: US20150188546A1. Автор: Rohit Goyal,Naman Gupta,Deepak Kumar Behera. Владелец: Individual. Дата публикации: 2015-07-02.

Multi-bit flip flop

Номер патента: US20210203311A1. Автор: Shang-Chih Hsieh,Wei-Hsiang Ma,Chi-Lin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-01.

Multi-bit flip flop

Номер патента: US20240056061A1. Автор: Shang-Chih Hsieh,Wei-Hsiang Ma,Chi-Lin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-15.

Multi-bit flip flop

Номер патента: US11824538B2. Автор: Shang-Chih Hsieh,Wei-Hsiang Ma,Chi-Lin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-21.

Gain matching for electron multiplication imager

Номер патента: EP2279613A1. Автор: Peter Alan Levine,John Robertson Tower. Владелец: Sarnoff Corp. Дата публикации: 2011-02-02.

Low power master-slave flip-flop

Номер патента: US09438213B2. Автор: Ge Yang,Ilyas Elkin. Владелец: Nvidia Corp. Дата публикации: 2016-09-06.

Clock signal generator

Номер патента: US20150222271A1. Автор: PRABHJOT Singh,Sachin Miglani. Владелец: Individual. Дата публикации: 2015-08-06.

Receiver including a multi-rate equalizer

Номер патента: US11784854B2. Автор: Deog-Kyoon Jeong,Kwangho Lee,Sanghee Lee,Moon-Chul CHOI,Seungha ROH. Владелец: SK hynix Inc. Дата публикации: 2023-10-10.

Reference-locked clock generator

Номер патента: WO2019032244A1. Автор: Roc PEREZ. Владелец: Innophase, Inc.. Дата публикации: 2019-02-14.

Semi-data gated flop with low clock power/low internal power with minimal area overhead

Номер патента: US09979381B1. Автор: Xiangdong Chen,Venugopal Boynapalli,Seid Hadi Rasouli. Владелец: Qualcomm Inc. Дата публикации: 2018-05-22.

Semiconductor circuit

Номер патента: US20150048876A1. Автор: Min-Su Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-02-19.

D flip-flop

Номер патента: US20070229133A1. Автор: Yee Tam,Chi Kok. Владелец: Promax Tech Hong Kong Ltd. Дата публикации: 2007-10-04.

Low power digital self-gated binary counter

Номер патента: US09438248B2. Автор: Gaurav Goyal,Naman Gupta,Amol Agarwal. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-09-06.

Semiconductor device

Номер патента: US20210119617A1. Автор: Ah Reum Kim,Hyun Lee,Min Su Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-04-22.

Low power digital self-gated binary counter

Номер патента: US20160173106A1. Автор: Gaurav Goyal,Naman Gupta,Amol Agarwal. Владелец: Individual. Дата публикации: 2016-06-16.

Low power adaptive synchronizer

Номер патента: US09899992B1. Автор: Greg Sadowski. Владелец: Advanced Micro Devices Inc. Дата публикации: 2018-02-20.

Clock gating trigger

Номер патента: CN104579251B. Автор: 程伟,胡建平. Владелец: Ningbo University. Дата публикации: 2017-04-26.

Integrated circuit, clock gating circuit, and method

Номер патента: US20120139590A1. Автор: . Владелец: . Дата публикации: 2012-06-07.

CLOCK GATED CIRCUIT AND DIGITAL SYSTEM HAVING THE SAME

Номер патента: US20120268182A1. Автор: KONG Bai-Sun,LEE HOIJIN. Владелец: . Дата публикации: 2012-10-25.

Clock gating circuit for single-wire communication

Номер патента: CN216718940U. Автор: 谭鑫,黄紫朱,王炳全,肖梁山,陈定昌. Владелец: Zhuhai Zero Boundary Integrated Circuit Co Ltd. Дата публикации: 2022-06-10.

METHOD AND SYSTEM FOR AUTOMATIC CLOCK-GATING OF A CLOCK GRID AT A CLOCK SOURCE

Номер патента: US20140053008A1. Автор: Rozas Guillermo Juan. Владелец: NVIDIA CORPORATION. Дата публикации: 2014-02-20.

Techniques Employing Flits for Clock Gating

Номер патента: US20120011383A1. Автор: Bhoj Shilpa. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2012-01-12.

CLOCK GATED POWER SAVING SHIFT REGISTER

Номер патента: US20120235020A1. Автор: . Владелец: ANALOG DEVICES, INC.. Дата публикации: 2012-09-20.

Internal Clock Gating Apparatus

Номер патента: US20120299622A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-11-29.

Dynamic Frequency Control Using Coarse Clock Gating

Номер патента: US20130021072A1. Автор: Wang James,Law Patrick Y.. Владелец: . Дата публикации: 2013-01-24.

HARDWARE-BASED AUTOMATIC CLOCK GATING

Номер патента: US20130055004A1. Автор: Miller Timothy J.,de Cesare Josh P.,Koniaris Kleanthes. Владелец: . Дата публикации: 2013-02-28.

STATE TRANSITIONING CLOCK GATING

Номер патента: US20130069692A1. Автор: Cressman John W.. Владелец: . Дата публикации: 2013-03-21.

DOUBLE DATA RATE CLOCK GATING

Номер патента: US20130082738A1. Автор: Gelman Anatoly. Владелец: BROADCOM CORPORATION. Дата публикации: 2013-04-04.

SCAN TESTING OF INTEGRATED CIRCUIT WITH CLOCK GATING CELLS

Номер патента: US20130117618A1. Автор: Agrawal Deepak,KUKREJA Himanshu. Владелец: FREESCALE SEMICONDUCTOR, INC. Дата публикации: 2013-05-09.

MEMORY ARRAY CLOCK GATING SCHEME

Номер патента: US20130159757A1. Автор: Lee Jungyong,Park Heechoul,KIM SONG. Владелец: ORACLE INTERNATIONAL CORPORATION. Дата публикации: 2013-06-20.

Regional Clock Gating and Dithering

Номер патента: US20130191677A1. Автор: Ziesler Conrad H.,Mylius John H.,Kassoff Jason M.. Владелец: . Дата публикации: 2013-07-25.

SYSTEM AND METHOD FOR GENERATING A CLOCK GATING NETWORK FOR LOGIC CIRCUITS

Номер патента: US20130194016A1. Автор: WIMER SHMUEL. Владелец: . Дата публикации: 2013-08-01.

INTEGRATED CIRCUIT HAVING CLOCK GATING CIRCUITRY RESPONSIVE TO SCAN SHIFT CONTROL SIGNAL

Номер патента: US20130219238A1. Автор: Tekumalla Ramesh C.,Krishnamoorthy Prakash. Владелец: LSI Corporation. Дата публикации: 2013-08-22.

POWER DROOP REDUCTION VIA CLOCK-GATING FOR AT-SPEED SCAN TESTING

Номер патента: US20130271197A1. Автор: Yang Bo,SANGHANI Amit. Владелец: . Дата публикации: 2013-10-17.

CLOCK GATING LATCH, METHOD OF OPERATION THEREOF AND INTEGRATED CIRCUIT EMPLOYING THE SAME

Номер патента: US20140070847A1. Автор: Elkin Ilyas,Yang Ge,Alben Jonah. Владелец: NVIDIA CORPORATION. Дата публикации: 2014-03-13.

Dynamic Clock Gating in a Network Device

Номер патента: US20140079073A1. Автор: Kadu Sachin P.,Dull John J.. Владелец: BROADCOM CORPORATION. Дата публикации: 2014-03-20.

ENHANCED CLOCK GATING IN RETIMED MODULES

Номер патента: US20140082400A1. Автор: Sprinkle Colin Pearse. Владелец: NVIDIA CORPORATION. Дата публикации: 2014-03-20.

EXPOSING CONTROL OF POWER AND CLOCK GATING FOR SOFTWARE

Номер патента: US20140095896A1. Автор: Carter Nicholas P.,Fryman Joshua B.,Knauerhase Robert C.,Agrawal Aditya B.,Torrellas Josep. Владелец: . Дата публикации: 2014-04-03.

Clock Gated Storage Array

Номер патента: US20140119146A1. Автор: Lilly Brian P.. Владелец: Apple Inc.. Дата публикации: 2014-05-01.

Clock-gating system and operating method thereof

Номер патента: CN101446842B. Автор: 钱诚,陈云霁,胡伟武. Владелец: Institute of Computing Technology of CAS. Дата публикации: 2010-04-21.

Gating circuit and magnetic store incorporating the gating circuit

Номер патента: AU5956069A. Автор: Duncan Commander Robert. Владелец: International Business Machines Corp. Дата публикации: 1971-02-18.

Gating circuit and magnetic store incorporating the gating circuit

Номер патента: AU422729B2. Автор: Duncan Commander Robert. Владелец: International Business Machines Corp. Дата публикации: 1971-02-18.

"""and"" gate circuit and chips with the ""and"" gate circuit"

Номер патента: TWI376875B. Автор: Chao Sheng Huang. Владелец: Via Tech Inc. Дата публикации: 2012-11-11.

"AND" gate circuit and chips with the "AND" gate circuit

Номер патента: TW201041310A. Автор: Chao-Sheng Huang. Владелец: Via Tech Inc. Дата публикации: 2010-11-16.

Periodic signal generating circuit for thyristor gate circuit

Номер патента: JPS5212555A. Автор: Tadahiro Ono,Toyoaki Yokogawa. Владелец: Toshiba Machine Co Ltd. Дата публикации: 1977-01-31.

A kind of DPG gate circuit applied to reversible logic circuits

Номер патента: CN208479595U. Автор: 刘东明,施隆照,王仁平. Владелец: FUZHOU UNIVERSITY. Дата публикации: 2019-02-05.

Thyristor gating circuit for converter three-phase input rectification circuit

Номер патента: CN103683862B. Автор: 王国强,张江涛,余骏,康现伟,王胜勇. Владелец: Wisdri Wuhan Automation Co Ltd. Дата публикации: 2016-08-31.

Gate circuit system for timeetoodigital converting circuit

Номер патента: JPS532062A. Автор: Setsurou Kanehara. Владелец: Japan Atomic Energy Research Institute. Дата публикации: 1978-01-10.

Gate circuit for electronic musical instrument

Номер патента: JPS5525009A. Автор: Teruo Fujii,Arihito Okamura,Tatsuo Baba,Yoshito Oomura,Kiyouji Oota. Владелец: HITACHI LTD. Дата публикации: 1980-02-22.

Gate circuit for gating electric waveforms

Номер патента: PL138531B1. Автор: Jan Zelichowski. Владелец: Aparatury Badawczej I Dydaktyc. Дата публикации: 1986-09-30.

Improvements in or relating to gate circuit arrangements

Номер патента: AU273450B2. Автор: Kitz Norbert. Владелец: Bell Punch Co Ltd. Дата публикации: 1963-05-02.

Improvements in or relating to electronic gating circuits

Номер патента: AU2790263A. Автор: Harry Laybourn Edward. Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1964-09-03.

Improvements in or relating to electric gating circuits

Номер патента: AU5180764A. Автор: Edgar Crump Arthur. Владелец: General Electric Co. Дата публикации: 1966-05-19.

Electric gating circuits

Номер патента: CA624971A. Автор: A. R. Peddle Edward. Владелец: General Electric Co PLC. Дата публикации: 1961-08-01.

Improvements in or relating to electromagnetic gating circuits

Номер патента: AU94961A. Автор: Charles Branch and Peter John Langlois Maurice. Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1963-02-07.

Improvements in or relating to electromagnetic gating circuits

Номер патента: AU244708B2. Автор: Charles Branch and Peter John Langlois Maurice. Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1963-02-07.

Improvements in or relating to transistor gate circuits. 1

Номер патента: AU239369B2. Автор: Arnoldus Samuel Johannes. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1960-01-14.

Improvements in or relating to gate circuit arrangements

Номер патента: AU1836262A. Автор: Kitz Norbert. Владелец: Bell Punch Co Ltd. Дата публикации: 1963-05-02.

Improvements in/relating to gating circuits

Номер патента: GB8826655D0. Автор: . Владелец: Texas Instruments Ltd. Дата публикации: 1988-12-21.

Electric gating circuits

Номер патента: CA663577A. Автор: M. Leakey David. Владелец: General Electric Co PLC. Дата публикации: 1963-05-21.

Electronic organ amplifier gate circuit

Номер патента: CA573534A. Автор: L. Wolgast Carl. Владелец: RUDOLPH WURLITZER Co. Дата публикации: 1959-04-07.

Floating gate circuit

Номер патента: CA726263A. Автор: C. Longton Albert. Владелец: Western Electric Co Inc. Дата публикации: 1966-01-18.

Readout gate circuit

Номер патента: AU729066A. Автор: EDWARD MURRAY and WALTER CHRISTIAN SEELBACH DONALD. Владелец: Motorola Inc. Дата публикации: 1968-01-04.

Power gating circuit of a signal processing system

Номер патента: TW200722949A. Автор: Yi-Hua Chang,Shang-Chih Hsieh,Jeng-Huang Wu. Владелец: Faraday Tech Corp. Дата публикации: 2007-06-16.

Diode gate circuit

Номер патента: CA537339A. Автор: E. Graham Robert. Владелец: Western Electric Co Inc. Дата публикации: 1957-02-19.

Gating circuit

Номер патента: AU544148B2. Автор: C.J. Ludowyk. Владелец: Commonwealth of Australia. Дата публикации: 1985-05-16.

Electrical gating circuits

Номер патента: CA655008A. Автор: F. T. C. Stillwell Peter,W. Newberry Gordon,M. Montgomery Peter. Владелец: Electrical and Musical Industries Ltd. Дата публикации: 1963-01-01.

Improvements in or relating to electronic gating circuits

Номер патента: AU144917B2. Автор: . Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1948-09-09.

Fail-safe transistor gate circuit

Номер патента: CA885081A. Автор: S. Greatrex Roger. Владелец: Westinghouse Brake and Signal Co Ltd. Дата публикации: 1971-11-02.

Improvements in or relating to electrical signal gating circuits

Номер патента: AU205542B2. Автор: Henry Beesley John. Владелец: General Electric Co. Дата публикации: 1956-05-10.

Electric gating circuits

Номер патента: CA766831A. Автор: E. Crump Arthur. Владелец: General Electric Co PLC. Дата публикации: 1967-09-05.

Improvements in or relating to electronic gating circuits

Номер патента: AU2238548A. Автор: . Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1948-09-09.

Improvements in or relating to transistor gate circuits. 1

Номер патента: AU5074259A. Автор: Arnoldus Samuel Johannes. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1960-01-14.

Improvements in or relating to electric pulse gating circuits

Номер патента: AU145204B2. Автор: . Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1949-04-07.

Gating circuits

Номер патента: CA614640A. Автор: Rywak John. Владелец: Northern Electric Co Ltd. Дата публикации: 1961-02-14.

Transistor data storage and gate circuit

Номер патента: CA588637A. Автор: Bernard Ostendorf, Jr.. Владелец: Western Electric Co Inc. Дата публикации: 1959-12-08.

Gating circuit

Номер патента: AU8085782A. Автор: C.J. Ludowyk. Владелец: Commonwealth of Australia. Дата публикации: 1982-08-26.

Transistor gating circuit

Номер патента: CA594417A. Автор: Mcdermott Byron. Владелец: Western Electric Co Inc. Дата публикации: 1960-03-15.

Improvements in or relating to gating circuits for selecting electric pulses

Номер патента: AU203706B2. Автор: Edmund Gervase Bailey Christopher. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1956-05-03.

Improvements in or relating to electrical signal gating circuits

Номер патента: AU1337655A. Автор: Henry Beesley John. Владелец: General Electric Co. Дата публикации: 1956-05-10.

Diode capacitor gate circuit

Номер патента: CA801208A. Автор: A. White Donald. Владелец: Digital Equipment Corp. Дата публикации: 1968-12-10.

Improvements in or relating to electric pulse gating circuits

Номер патента: AU2628549A. Автор: . Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1949-04-07.

Waveform level gating circuit

Номер патента: AU2166362A. Автор: Elliott Sear Brian. Владелец: Sperry Rand Corp. Дата публикации: 1964-03-05.

Improvements in or relating to gating circuits for selecting electric pulses

Номер патента: AU1324155A. Автор: Edmund Gervase Bailey Christopher. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1956-05-03.

Waveform level gating circuit

Номер патента: AU275587B2. Автор: Elliott Sear Brian. Владелец: Sperry Rand Corp. Дата публикации: 1964-03-05.

A kind of novel thyristor gating circuit

Номер патента: CN103683861B. Автор: 罗志刚,卢家斌. Владелец: Wisdri Wuhan Automation Co Ltd. Дата публикации: 2016-01-20.

Gate circuit for gate turn-off thyristor

Номер патента: JPS5566272A. Автор: Hiroyuki Kitamura. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1980-05-19.

Burst 1-wave gate circuit

Номер патента: JPS6486786A. Автор: Ryuta Kawanaka. Владелец: NEC Home Electronics Ltd. Дата публикации: 1989-03-31.

Soft start thyristor gate circuit

Номер патента: JPS531848A. Автор: Sadami Yamashita,Tetsuo Kikura. Владелец: Nippon Electric Co Ltd. Дата публикации: 1978-01-10.

And gate circuit

Номер патента: CN101547004A. Автор: 黄超圣. Владелец: Via Technologies Inc. Дата публикации: 2009-09-30.

Improvements in or relating to electronic gating circuits

Номер патента: AU269977B2. Автор: Harry Laybourn Edward. Владелец: Standard Telephone and Cables Pty Ltd. Дата публикации: 1964-09-03.

Magnetic bubble logical gate circuit

Номер патента: JPS538046A. Автор: Yoshiki Kikuchi. Владелец: Fuji Xerox Co Ltd. Дата публикации: 1978-01-25.

Power gate circuit, solid-state imaging device, and camera system

Номер патента: US20120062775A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-03-15.

Low-Current Logic-Gate Circuit

Номер патента: US20120112793A1. Автор: Spits Erwin,van den Oever Léon C.M.. Владелец: EPCOS AG. Дата публикации: 2012-05-10.

GATE CIRCUIT

Номер патента: US20120229942A1. Автор: YAMAMOTO Akira,HUSSEIN Khalid Hassan,WADA Fumio. Владелец: Mitsubishi Electric Corporation. Дата публикации: 2012-09-13.

Power gating circuit

Номер патента: US20120299636A1. Автор: Van Winkelhoff Nicolaas Klarinus Johannes,Brun Mikael. Владелец: ARM LIMITED. Дата публикации: 2012-11-29.

GATED CIRCUIT STRUCTURE WITH SELF-ALIGNED TUNNELING REGION

Номер патента: US20130320427A1. Автор: Loh Wei-Yip,Majhi Prashant,Hill Richard. Владелец: SEMATECH, INC.. Дата публикации: 2013-12-05.

GATED CIRCUIT STRUCTURE WITH ULTRA-THIN, EPITAXIALLY-GROWN TUNNEL AND CHANNEL LAYER

Номер патента: US20140054549A1. Автор: Loh Wei-Yip,Wang Wei-E. Владелец: SEMATECH, INC.. Дата публикации: 2014-02-27.

Gate circuit for thyristor converter

Номер патента: JPS5470759A. Автор: Tadashi Takahashi,Tsuneo Kato,Yoshiro Tagami. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1979-06-06.

Pulse shaper for control of gate-circuit converter

Номер патента: SU1029375A1. Автор: Леонид Исакович Гитман. Владелец: Gitman Leonid. Дата публикации: 1983-07-15.

Gate circuit

Номер патента: JPS6278914A. Автор: Hiroshi Enomoto,Yasushi Yasuda,宏 榎本,Masao Kumagai,正雄 熊谷,Akinori Tawara,田原 昭紀,保田 康. Владелец: Fujitsu Ltd. Дата публикации: 1987-04-11.

Complementary gate circuit

Номер патента: JPS619015A. Автор: Satoru Tanizawa,谷澤 哲. Владелец: Fujitsu Ltd. Дата публикации: 1986-01-16.

Gate circuit in gate turn off thyristor

Номер патента: JPS5226148A. Автор: Takeo Maeda,Takuji Matsumura. Владелец: HITACHI LTD. Дата публикации: 1977-02-26.

Gate circuit of magnetic bubble memory

Номер патента: JPS5528575A. Автор: Minoru Hiroshima. Владелец: HITACHI LTD. Дата публикации: 1980-02-29.

Fourier transform nmr amplifier gate circuit

Номер патента: JPS53126983A. Автор: Hiroshi Yokogawa,Yoshiharu Uchiumi. Владелец: HITACHI LTD. Дата публикации: 1978-11-06.

AND gate circuit

Номер патента: KR970055542A. Автор: 여협구. Владелец: 김광호. Дата публикации: 1997-07-31.

Gate circuit of cycloconverter

Номер патента: JP3340850B2. Автор: 丈雄 金井,清志 楠. Владелец: Toshiba Corp. Дата публикации: 2002-11-05.

Teaching is with logic gate circuit functional test experimental apparatus

Номер патента: CN210129337U. Автор: 刘恋,郭立强. Владелец: Huaiyin Normal University. Дата публикации: 2020-03-06.

Cathode-ray gating circuit control device

Номер патента: SU884069A1. Автор: Виктор Сергеевич Липатов. Владелец: Предприятие П/Я Р-6511. Дата публикации: 1981-11-23.

Gate circuit

Номер патента: JPH01191516A. Автор: Michimoto Sakai,道元 酒井. Владелец: Tsubasa System Co Ltd. Дата публикации: 1989-08-01.

Memory power gating circuit and method

Номер патента: CN101814321B. Автор: 刘逸群,周绍禹,詹伟闵. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-11-25.

Gate circuit

Номер патента: JPS54139455A. Автор: Seiji Mori,Kiyoshi Amasawa,Takashi Taniyama. Владелец: Clarion Co Ltd. Дата публикации: 1979-10-29.

Thyristor gate circuit

Номер патента: JPS5271163A. Автор: Katsuhiko Takigami,Hiromichi Ohashi,Yoshihiro Shirasaka,Yujiro Yamashita. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1977-06-14.

Signal gating circuit

Номер патента: CA821090A. Автор: K. Rapp Adolph. Владелец: Radio Corporation of America. Дата публикации: 1969-08-19.

Logical gate circuit

Номер патента: JPS63233610A. Автор: Tadakatsu Kimura,Yasunobu Inabe,木村 忠勝,井鍋 泰宣. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1988-09-29.

Clock signal generator, communication device and clock signal in proper order gate circuit

Номер патента: CN105281743B. Автор: 曾顺得,林见儒,刘凯尹,江致荣. Владелец: Realtek Semiconductor Corp. Дата публикации: 2018-09-04.

Receiver for tv broadcast by cable with a gate circuit

Номер патента: KR920002290Y1. Автор: 하르트만 우베,마이 우도,오네무스 프리츠. Владелец: 롤프-디이터 베르거. Дата публикации: 1992-04-06.

Gate circuit with two-way scanning function

Номер патента: CN102354477A. Автор: 刘文雄. Владелец: Nanjing CEC Panda LCD Technology Co Ltd. Дата публикации: 2012-02-15.

Monitoring gate circuit having elongated magnetic element

Номер патента: CA702546A. Автор: F. May Harold,John A. Baldwin, Jr.. Владелец: Western Electric Co Inc. Дата публикации: 1965-01-26.

Sweep gate circuit

Номер патента: JPS55620A. Автор: Mitsunobu Iwabuchi. Владелец: Hitachi Denshi KK. Дата публикации: 1980-01-07.

Gating circuit

Номер патента: AU421320B2. Автор: LEE ADKISSON and ALBERT BRUCE RANILDI JOHN. Владелец: International Business Machines Corp. Дата публикации: 1970-04-23.

Gating circuit

Номер патента: CA714664A. Автор: J. Carroll John. Владелец: Automatic Electric Laboratories Inc. Дата публикации: 1965-07-27.

Time delay gating circuit

Номер патента: CA792382A. Автор: S. Crosby Philip. Владелец: Tektronix Inc. Дата публикации: 1968-08-13.

Logical gate circuit

Номер патента: JPS63294125A. Автор: Yasuo Mikami,Takao Okazaki,孝男 岡崎,三上 靖夫. Владелец: HITACHI LTD. Дата публикации: 1988-11-30.

Gate circuit

Номер патента: JPS625720A. Автор: Masunori Sugimoto,杉本 益規. Владелец: NEC Corp. Дата публикации: 1987-01-12.

Schmitt trigger demonstration instrument for teaching properties of non-gate circuit

Номер патента: CN103646596A. Автор: 丁洪良. Владелец: Individual. Дата публикации: 2014-03-19.

Time delay gating circuit

Номер патента: AU292978B2. Автор: Stephen Crosby Philip. Владелец: Tektronix Inc. Дата публикации: 1967-04-20.

High speed gating circuit

Номер патента: CA783744A. Автор: R. Harper Leonard. Владелец: International Business Machines Corp. Дата публикации: 1968-04-23.

Signal coupled logic gate circuit

Номер патента: CA794952A. Автор: F. Sutherland James. Владелец: Westinghouse Electric Corp. Дата публикации: 1968-09-17.

Gating circuit for silicon controlled rectifiers

Номер патента: CA855835A. Автор: D. Bedford Burnice,G. Turnbull Fred. Владелец: General Electric Co. Дата публикации: 1970-11-10.

Semiconductor device having an integrated pulse gate circuit and method of manufacturing said device

Номер патента: CA906668A. Автор: Chapron Claude. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1972-08-01.

CML gate circuit

Номер патента: JP2995935B2. Автор: 文弘 釜瀬. Владелец: NEC Corp. Дата публикации: 1999-12-27.

Logic gate circuits

Номер патента: CA1072643A. Автор: David E. Fulkerson. Владелец: Honeywell Inc. Дата публикации: 1980-02-26.

Gate circuit for thyristor

Номер патента: JPS52153655A. Автор: Hideo Iwamoto. Владелец: Mitsubishi Electric Corp. Дата публикации: 1977-12-20.

Gate circuit of thyristor leonard device

Номер патента: JP2561674Y2. Автор: 正徳 藤原. Владелец: Meidensha Corp. Дата публикации: 1998-02-04.

It is a kind of based on transistor level and/NOR gate circuit

Номер патента: CN104836570B. Автор: 梁浩,夏银水,钱利波,阳媛,李道通. Владелец: Ningbo University. Дата публикации: 2017-08-15.

RF channel gating circuit of RFID reader-writer

Номер патента: CN202362807U. Автор: 李金华,李忠明. Владелец: XIAMEN INNOV ELECTRONIC TECHNOLOGY Co Ltd. Дата публикации: 2012-08-01.

Waveform level gating circuit

Номер патента: CA735580A. Автор: E. Sear Brian. Владелец: Sperry Rand Corp. Дата публикации: 1966-05-31.

Gate circuit

Номер патента: JPS5660497A. Автор: Kazuhiro Murase,Suminosuke Shigeta,Tetsuhiko Kanaaki. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1981-05-25.

Gas cooker energy-saving device for commercial use providing monitor signal by soft and gate circuit

Номер патента: CN200969040Y. Автор: 谭启仁. Владелец: Individual. Дата публикации: 2007-10-31.

Improvements in or relating to electric gating circuits

Номер патента: AU6727060A. Автор: Martin Velkey David. Владелец: General Electric Co. Дата публикации: 1962-12-13.

Improvements in or relating to electric gating circuits

Номер патента: AU249693B2. Автор: Martin Velkey David. Владелец: General Electric Co. Дата публикации: 1962-12-13.

Improvements in or relating to electric gating circuits

Номер патента: AU2127362A. Автор: Wells Peter. Владелец: General Electric Co. Дата публикации: 1964-02-27.

Improvements in or relating to electric gating circuits

Номер патента: AU263850B2. Автор: Wells Peter. Владелец: General Electric Co. Дата публикации: 1964-02-27.

"not and" gate circuits

Номер патента: CA596521A. Автор: H. Faulkner Alfred. Владелец: General Telephone Laboratories Inc. Дата публикации: 1960-04-19.

Low level transistor gating circuit

Номер патента: CA717164A. Автор: K. York Robert. Владелец: Western Electric Co Inc. Дата публикации: 1965-08-31.

Filter-rectifier-gate circuit useful in subscription television

Номер патента: CA619086A. Автор: T. Watters Norman. Владелец: Zenith Radio Corp. Дата публикации: 1961-04-25.

D-c gate circuit

Номер патента: CA625652A. Автор: W. Gilbert Roswell. Владелец: Daystrom Inc. Дата публикации: 1961-08-15.

Improvements in or relating to electric gating circuits

Номер патента: AU224953B2. Автор: Arthur Richard Peddie Edward. Владелец: General Electric Co. Дата публикации: 1957-11-07.

Improvements relating to electric gating circuits

Номер патента: AU50261A. Автор: Mitford Foulkes Ronald. Владелец: Associated Electrical Industries Ltd. Дата публикации: 1963-01-24.

Improvements relating to electric gating circuits

Номер патента: AU258300B2. Автор: Mitford Foulkes Ronald. Владелец: Associated Electrical Industries Ltd. Дата публикации: 1963-01-24.

Parity check gate circuit

Номер патента: CA786489A. Автор: L. Nelson Raymond. Владелец: Canadian Kodak Co Ltd. Дата публикации: 1968-05-28.

Readout gate circuit

Номер патента: AU416037B2. Автор: EDWARD MURRAY and WALTER CHRISTIAN SEELBACH DONALD. Владелец: Motorola Inc. Дата публикации: 1968-01-04.

A charge-sharing-problem free 1.5 BICMOS dynamic logic gate circuit

Номер патента: TW356623B. Автор: Jeng-Bang Kuo. Владелец: Jeng-Bang Kuo. Дата публикации: 1999-04-21.

Power gating circuit of a signal processing system

Номер патента: TWI304166B. Автор: Shang Chih Hsieh,Jeng Huang Wu,Yi Hwa Chang. Владелец: Faraday Tech Corp. Дата публикации: 2008-12-11.

Electrical gate circuits

Номер патента: CA561449A. Автор: A. Newman Edward. Владелец: National Research Development Corp UK. Дата публикации: 1958-08-05.

Improvements in or relating to gating circuit arrangements

Номер патента: AU594966A. Автор: Neil Hunter Geoffrey. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1967-11-23.

Improvements in or relating to gating circuit arrangements

Номер патента: AU407946B2. Автор: Neil Hunter Geoffrey. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1967-11-23.

Complementary transmission gating circuit

Номер патента: CA664370A. Автор: Rywak John. Владелец: Northern Electric Co Ltd. Дата публикации: 1963-06-04.

Complementary transmission gating circuit

Номер патента: CA672929A. Автор: Rywak John. Владелец: Northern Electric Co Ltd. Дата публикации: 1963-10-22.