• Главная
  • Probe head for wafer-level burn-in test (WLBI) and probe card comprising said probe head

Probe head for wafer-level burn-in test (WLBI) and probe card comprising said probe head

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Probe head comprising pogo pins for wafer-level burn-in test

Номер патента: EP4382920A1. Автор: Giuseppe Amelio. Владелец: Microtest SpA. Дата публикации: 2024-06-12.

Wafer-level burn-in system

Номер патента: EP0841572A3. Автор: John J. Budnaitis,Jimmy Leong. Владелец: WL Gore and Associates Inc. Дата публикации: 1998-07-08.

System and methods for semiconductor burn-in test

Номер патента: EP3465238A1. Автор: Ballson Gopal,Jessie KILLION. Владелец: Kes Systems Inc. Дата публикации: 2019-04-10.

Probe head for reduced-pitch applications

Номер патента: WO2021122950A1. Автор: Roberto Crippa,Stefano Felici. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2021-06-24.

Probe head for reduced-pitch applications

Номер патента: US11953522B2. Автор: Roberto Crippa,Stefano Felici. Владелец: Technoprobe SpA. Дата публикации: 2024-04-09.

Transposed via arrangement in probe card for automated test equipment

Номер патента: US20210190828A1. Автор: Brian Brecht. Владелец: Teradyne Inc. Дата публикации: 2021-06-24.

Probe card and test apparatus including probe card

Номер патента: US20240255545A1. Автор: Min Suk Kim,Seung il HONG. Владелец: LX Semicon Co Ltd. Дата публикации: 2024-08-01.

Probe card holder

Номер патента: MY197465A. Автор: NARUMI TAKAYUKI,KIKUCHI YOSHINORI,YASUTA TAKAO,Hirota Hideki. Владелец: Nihon Micronics Kk. Дата публикации: 2023-06-19.

Wafer level burn-in and electrical test system and method

Номер патента: KR100751068B1. Автор: 도날드 폴 2세 리치몬드,존 딘 호앙,저지 로바츠. Владелец: 에어 테스트 시스템즈. Дата публикации: 2007-08-22.

Multi Layer Ceramic Condenser(MLCC) BURN IN TEST BOARD

Номер патента: KR102337684B1. Автор: 김상철. Владелец: 주식회사 윈탑. Дата публикации: 2021-12-13.

Probe head and probe card

Номер патента: US11733267B2. Автор: Che-Wei Lin,Chin-Yi Lin,Ting-Ju WU,Keng-Min Su. Владелец: MPI Corp. Дата публикации: 2023-08-22.

Probe head and probe card

Номер патента: EP3715866A1. Автор: Che-Wei Lin,Chin-Yi Lin,Ting-Ju WU,Keng-Min Su. Владелец: MPI Corp. Дата публикации: 2020-09-30.

Probe card

Номер патента: US20240168059A1. Автор: Youngil Kim,Junoh Choi,Duhyun HWANG,Kyubeom KIM,Dongdae Kim,Jaehan Cho. Владелец: Top Engineering Co Ltd. Дата публикации: 2024-05-23.

Surface Treated Metal Material For Burn-In Test Socket, Connector For Burn-In Test Socket And Burn-In Test Socket Using The Same

Номер патента: US20190234994A1. Автор: Endo Satoru. Владелец: . Дата публикации: 2019-08-01.

SYSTEM AND METHODS FOR SEMICONDUCTOR BURN-IN TEST

Номер патента: US20190204378A1. Автор: Gopal Ballson,Killion Jesse. Владелец: KES SYSTEMS, INC.. Дата публикации: 2019-07-04.

Burn-in test socket having wire silicon rubber interposed between contact pin and semiconductor device

Номер патента: KR101806472B1. Автор: 박성규,전진국. Владелец: 주식회사 오킨스전자. Дата публикации: 2017-12-07.

Burn-in testing apparatus and method

Номер патента: US7042240B2. Автор: Gordon B. Kuenster,Christopher A. Lopez,Brian J. Denheyer. Владелец: Wells CTI LLC. Дата публикации: 2006-05-09.

Burn-in testing apparatus and method

Номер патента: US7187189B2. Автор: Gordon B. Kuenster,Christopher A. Lopez,Brian J. Denheyer. Владелец: Wells CTI LLC. Дата публикации: 2007-03-06.

Burn-in testing apparatus and method

Номер патента: US7482825B2. Автор: Gordon B. Kuenster,Christopher A. Lopez,Brian J. Denheyer. Владелец: Wells CTI LLC. Дата публикации: 2009-01-27.

Structure and method for package burn-in testing

Номер патента: TWI261676B. Автор: Wen-Kun Yang. Владелец: Advanced Chip Eng Tech Inc. Дата публикации: 2006-09-11.

Apparatus for Burn-In Test

Номер патента: US20160091559A1. Автор: Teoh Siow Khiang. Владелец: . Дата публикации: 2016-03-31.

Holding device of burn-in test chip

Номер патента: KR950013605B1. Автор: 이규진. Владелец: 김광호. Дата публикации: 1995-11-13.

Chip holding device for burn-in test and manufacturing method thereof

Номер патента: KR950014897A. Автор: 이규진,정현조. Владелец: 김광호. Дата публикации: 1995-06-16.

Systems for wafer level burn-in of electronic devices

Номер патента: US20050024076A1. Автор: James Biard,James Guenter,Michael Haji-Sheikh,Simon Rabinovich,Bobby Hawkins. Владелец: Individual. Дата публикации: 2005-02-03.

Wafer-level burn-in and test

Номер патента: US20080157808A1. Автор: Igor Y. Khandros,David V. Pedersen. Владелец: Formfactor Inc. Дата публикации: 2008-07-03.

Probe card system, method of manufacturing probe card system, method of using probe card system

Номер патента: US20240027494A1. Автор: Ho-Ming Tong,Chao-Chun Lu. Владелец: Nd Hi Technologies Lab inc. Дата публикации: 2024-01-25.

Probe card for an apparatus for testing electronic devices

Номер патента: US20150048856A1. Автор: Riccardo Vettori,Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2015-02-19.

Manufacturing method of probe-head for electrical device inspection

Номер патента: KR20220164683A. Автор: 박영성,장필국. Владелец: 주식회사 나노엑스. Дата публикации: 2022-12-13.

Test board having contact rubber and Burn-in test socket using the same

Номер патента: KR101683018B1. Автор: 박성규,전진국. Владелец: 주식회사 오킨스전자. Дата публикации: 2016-12-07.

Wafer-level burn-in testing of integrated circuits

Номер патента: US5047711A. Автор: William H. Smith,Chau-Shiong Chen. Владелец: Silicon Connections Corp. Дата публикации: 1991-09-10.

Wafer-level burn-in

Номер патента: WO2001006270A1. Автор: Sammy K. Brown,Andrew K. Wiggin,George E. Avery,Martin P. Goetz,Allan Calamoneri,John Zsaio. Владелец: Alpine Microsystems, Inc.. Дата публикации: 2001-01-25.

Burn-in test socket device

Номер патента: KR980006647A. Автор: 마사히로 후치가미,피. 리조 살바토레. Владелец: 덱사스 인스트루먼츠 인코오포레이티드. Дата публикации: 1998-03-30.

Probe card holding device and inspection device

Номер патента: US20210255217A1. Автор: Kazumi Yamagata,Tatsuo Kawashima. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-08-19.

Micromachined silicon probe card for semiconductor dice and method of fabrication

Номер патента: US6072321A. Автор: Salman Akram,David R. Hembree,Alan G. Wood. Владелец: Micron Technology Inc. Дата публикации: 2000-06-06.

Probe Card Support Apparatus for Automatic Test Equipment

Номер патента: US20200003803A1. Автор: Scott Nelson. Владелец: REID-ASHMAN MANUFACTURING Inc. Дата публикации: 2020-01-02.

Burn-in test socket

Номер патента: WO1999050677A1. Автор: Akira Kaneshige,Tomohiro Nakano,Isanmu Yamamoto. Владелец: Molex Incorporated. Дата публикации: 1999-10-07.

Probe head for electronic devices and corresponding probe card

Номер патента: US20220155348A1. Автор: Roberto Crippa. Владелец: Technoprobe SpA. Дата публикации: 2022-05-19.

Probe head for electronic devices and corresponding probe card

Номер патента: WO2021023739A1. Автор: Roberto Crippa. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2021-02-11.

Probe head for electronic devices and corresponding probe card

Номер патента: EP4010712A1. Автор: Roberto Crippa. Владелец: Technoprobe SpA. Дата публикации: 2022-06-15.

Probe head for electronic devices and corresponding probe card

Номер патента: US12032003B2. Автор: Roberto Crippa. Владелец: Technoprobe SpA. Дата публикации: 2024-07-09.

Probe card and contact inspection device

Номер патента: US20180299489A1. Автор: Takashi Kawano,Tetsuya Yoshioka,Mika Nasu,Shigeki MAKISE. Владелец: Micronics Japan Co Ltd. Дата публикации: 2018-10-18.

Probe card for an apparatus for testing electronic devices

Номер патента: EP2859361A1. Автор: Riccardo Vettori,Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2015-04-15.

Probe card electrically connectable with a semiconductor wafer

Номер патента: US8149008B2. Автор: Hiroshi Nakayama,Yoshio Yamada,Takashi Akao,Tsuyoshi Inuma. Владелец: NHK Spring Co Ltd. Дата публикации: 2012-04-03.

Probe head structure

Номер патента: US20240302410A1. Автор: Hao Chen,Chen-Shien Chen,Mill-Jer Wang,Wen-Yi Lin,Chuan-Hsiang Sun,Chien-Chen Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

Probe head and probe card having same

Номер патента: US20230143340A1. Автор: Seung Ho Park,Bum Mo Ahn,Sung Hyun BYUN. Владелец: Point Engineering Co Ltd. Дата публикации: 2023-05-11.

Probe head and probe card having same

Номер патента: WO2021215790A1. Автор: Seung Ho Park,Bum Mo Ahn,Sung Hyun BYUN. Владелец: POINT ENGINEERING CO., LTD.. Дата публикации: 2021-10-28.

Contact probe and relative probe head of an apparatus for testing electronic devices

Номер патента: EP3555636A1. Автор: Roberto Crippa,Raffaele VALLAURI. Владелец: Technoprobe SpA. Дата публикации: 2019-10-23.

Contact probe and relative probe head of an apparatus for testing electronic devices

Номер патента: WO2018108675A1. Автор: Roberto Crippa,Raffaele VALLAURI. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2018-06-21.

Probe head with replaceable probe board

Номер патента: EP4356149A1. Автор: Pardeep Kumar,Kwame Amponsah,Mehmet OZDOGAN,Clive A. D'SOUZA. Владелец: XALLENT Inc. Дата публикации: 2024-04-24.

Probe head for a testing apparatus of electronic devices with enhanced filtering properties

Номер патента: PH12019501352A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2019-11-04.

Probe head for a testing apparatus of electronic devices with enhanced filtering properties

Номер патента: MY196938A. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2023-05-11.

Probe head for a testing apparatus of electronic devices with enhanced filtering properties

Номер патента: EP3555637A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2019-10-23.

Probe head for a testing apparatus of electronic devices with enhanced filtering properties

Номер патента: WO2018108777A1. Автор: Flavio Maggioni. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2018-06-21.

Cantilever contact probe and corresponding probe head

Номер патента: EP3740765A1. Автор: Riccardo Vettori. Владелец: Technoprobe SpA. Дата публикации: 2020-11-25.

Cantilever contact probe and corresponding probe head

Номер патента: PH12020551056A1. Автор: Riccardo Vettori. Владелец: Technoprobe SpA. Дата публикации: 2021-08-23.

Probe head for a testing apparatus of electronic devices with enhanced filtering properties

Номер патента: US20190302185A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2019-10-03.

Probe head for a testing apparatus of electronic devices with enhanced filtering properties

Номер патента: US11971449B2. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2024-04-30.

Probe head with machined mounting pads and method of forming same

Номер патента: EP1984748A1. Автор: Steven Wang,Salleh Ismail,Raffi Garabedian. Владелец: Touchdown Technologies Inc. Дата публикации: 2008-10-29.

Probe head with machined mounting pads and method of forming same

Номер патента: WO2007092174A1. Автор: Steven Wang,Salleh Ismail,Raffi Garabedian. Владелец: TOUCHDOWN TECHNOLOGIES, INC.. Дата публикации: 2007-08-16.

Probe card holding apparatus

Номер патента: US20120025858A1. Автор: Katsuhiko Namiki,Shigeaki Naito. Владелец: Advantest Corp. Дата публикации: 2012-02-02.

Universal probe card and testing method

Номер патента: US20240310412A1. Автор: Chia-Wei Chen,Chung-Hsiung Ho,Ping-Jui Hsieh. Владелец: PanJit International Inc. Дата публикации: 2024-09-19.

Method for optimizing probe card analysis and scrub mark analysis data

Номер патента: EP1061381B1. Автор: John Strom. Владелец: Applied Precision Inc. Дата публикации: 2004-12-29.

Alignment chip for probe card, probe card and probe card repair method

Номер патента: US20240103071A1. Автор: Takashi Yoshida. Владелец: Japan Electronic Materials Corp. Дата публикации: 2024-03-28.

Method for optimizing probe card analysis and scrub mark analysis data

Номер патента: US20020171414A1. Автор: John Strom. Владелец: Applied Precision Inc. Дата публикации: 2002-11-21.

Method for optimizing probe card analysis and scrub mark analysis data

Номер патента: EP1519200B1. Автор: John Strom. Владелец: Applied Precision Inc. Дата публикации: 2007-01-10.

Improved probe card for high-frequency applications

Номер патента: SG11201907123PA. Автор: Riccardo Vettori,Stefano Felici. Владелец: Technoprobe SpA. Дата публикации: 2019-09-27.

Cantilever probe card and carrier thereof

Номер патента: US20230349948A1. Автор: Chao-Hui Tseng,Wei-Jhih Su,Hao-Yen Cheng,Rong-Yang Lai. Владелец: Chunghwa Precision Test Technology Co Ltd. Дата публикации: 2023-11-02.

Probe card assemblies and probe pins including carbon nanotubes

Номер патента: EP2649463A1. Автор: Alexander Brandorff. Владелец: Wentworth Laboratories Inc. Дата публикации: 2013-10-16.

Probe card

Номер патента: US20110163774A1. Автор: Toshifumi Minami,Hiroki Murotani. Владелец: Toshiba Corp. Дата публикации: 2011-07-07.

Probe Card Assemblies And Probe Pins Including Carbon Nanotubes

Номер патента: US20140028342A1. Автор: Alexander Brandorff. Владелец: Individual. Дата публикации: 2014-01-30.

Contactless wafer level burn-in

Номер патента: WO2006069309A2. Автор: Jian Chen. Владелец: SanDisk Corporation. Дата публикации: 2006-06-29.

Contactless wafer level burn-in

Номер патента: WO2006069309A3. Автор: Jian Chen. Владелец: SanDisk Corp. Дата публикации: 2006-09-28.

Contactless wafer level burn-in

Номер патента: EP1828791A2. Автор: Jian Chen. Владелец: SanDisk Corp. Дата публикации: 2007-09-05.

Methods, apparatus and systems for wafer-level burn-in stressing of semiconductor devices

Номер патента: US20050156618A1. Автор: Kenneth Marr. Владелец: Individual. Дата публикации: 2005-07-21.

A method of wafer level burn-in

Номер патента: EP0841568A2. Автор: John J. Budnaitis,Jimmy Leong. Владелец: WL Gore and Associates Inc. Дата публикации: 1998-05-13.

Probe apparatus applicable to a wafer level burn-in screening

Номер патента: TW555987B. Автор: Masaaki Ishizaka,Yumio Nakamura. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2003-10-01.

Probe card structure

Номер патента: US20230025864A1. Автор: Choon Leong Lou. Владелец: Tecat Technologies Suzhou Ltd. Дата публикации: 2023-01-26.

Probe card structure

Номер патента: US11879913B2. Автор: Choon Leong Lou. Владелец: Xinzhuo Technology Zhejiang Co Ltd. Дата публикации: 2024-01-23.

Probe card testing device and testing device

Номер патента: US20200088764A1. Автор: Kai-Chieh Hsieh,Wen-Tsung Lee. Владелец: Chunghwa Precision Test Technology Co Ltd. Дата публикации: 2020-03-19.

Probe card

Номер патента: US20240280610A1. Автор: Yuji Kawasaki,Tatsunori Shimizu,Tsutomu Shoji,Tomohiro Kinoshita. Владелец: Japan Electronic Materials Corp. Дата публикации: 2024-08-22.

Method and apparatus for providing active compliance in a probe card assembly

Номер патента: WO2008057897A2. Автор: Keith J. Breinlinger. Владелец: FORMFACTOR, INC.. Дата публикации: 2008-05-15.

Method and apparatus for providing active compliance in a probe card assembly

Номер патента: EP2080030A2. Автор: Keith J. Breinlinger. Владелец: Formfactor Inc. Дата публикации: 2009-07-22.

Low-current probe card

Номер патента: US20030071644A1. Автор: Randy Schwindt. Владелец: CASCADE MICROTECH INC. Дата публикации: 2003-04-17.

False detection method for loading probe card

Номер патента: US20200088788A1. Автор: Chia-Wei Wang,Wei-Jr YANG,Chien-Fang Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-19.

Probe card

Номер патента: US20240264038A1. Автор: Sung Wook Cho,Yeon Su YEO. Владелец: SK hynix Inc. Дата публикации: 2024-08-08.

Prober and probe card cleaning method

Номер патента: US20210063443A1. Автор: Jun Fujihara. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-03-04.

Probe card analysis system and method

Номер патента: US7782071B2. Автор: Eric Endres. Владелец: Rudolph Technologies Inc. Дата публикации: 2010-08-24.

Head socket for contacting probe card and wafer test apparatus

Номер патента: WO2009017314A3. Автор: Sung-Chul Kim,Chang-Hak Lee. Владелец: Chang-Hak Lee. Дата публикации: 2009-04-02.

Head socket for contacting probe card and wafer test apparatus

Номер патента: WO2009017314A2. Автор: Sung-Chul Kim,Chang-Hak Lee. Владелец: Chang-Hak Lee. Дата публикации: 2009-02-05.

Probe apparatus having burn-in test function

Номер патента: US5568054A. Автор: Shinji Iino,Itaru Iida. Владелец: Tokyo Electron Yamanashi Ltd. Дата публикации: 1996-10-22.

Wafer level burn-in and electrical test system and method

Номер патента: US20020048826A1. Автор: Donald Richmond,John Hoang,Jerzy Lobacz. Владелец: Aehr Test Systems Inc. Дата публикации: 2002-04-25.

Testing system for evaluating integrated circuits, a burn-in testing system, and a method for testing an integrated circuit

Номер патента: US20010039065A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2001-11-08.

Burn-in station for performing burn-in testing of electronic devices

Номер патента: EP4286862A1. Автор: Giuseppe Amelio. Владелец: Microtest SpA. Дата публикации: 2023-12-06.

Automated loading/unloading of devices for burn-in testing

Номер патента: US20080169832A1. Автор: Wan Yen TEOH,Paiboon SUBPANYADEE,Kurt Joseph PEREZ,Chai Soon TEO,Swee Hin ONG. Владелец: ONG Swee HIN. Дата публикации: 2008-07-17.

Automated loading/unloading of devices for burn-in testing

Номер патента: US20070159198A1. Автор: Wan Yen TEOH,Paiboon SUBPANYADEE,Kurt Joseph PEREZ,Chai Soon TEO,Swee Hin ONG. Владелец: SPANSION LLC. Дата публикации: 2007-07-12.

Wafer-level burn-in and test cartridge and methods

Номер патента: EP1218765A2. Автор: John William Andberg,Frank Otto Uher,Mark Charles Carbone,Donald Paul Ii Richmond. Владелец: Aehr Test Systems Inc. Дата публикации: 2002-07-03.

Probe head and probe card having same

Номер патента: US11852656B2. Автор: Seung Ho Park,Bum Mo Ahn,Tae Hwan Song. Владелец: Point Engineering Co Ltd. Дата публикации: 2023-12-26.

Probe head for a testing apparatus of electronic devices

Номер патента: WO2024132276A1. Автор: Stefano Felici,Fabio MORGANA. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2024-06-27.

Probe card

Номер патента: US20230160926A1. Автор: Seung Ho Park,Bum Mo Ahn,Sung Hyun BYUN. Владелец: Point Engineering Co Ltd. Дата публикации: 2023-05-25.

Probe card apparatus having a heating element and process for using the same

Номер патента: US5124639A. Автор: Scott J. Carlin,Samuel Roberts, Jr.. Владелец: Motorola Inc. Дата публикации: 1992-06-23.

Guide plate for probe card and manufacturing method thereof, and probe card having same

Номер патента: US20200132756A1. Автор: Seung Ho Park,Bum Mo Ahn,Sung Hyun BYUN. Владелец: Point Engineering Co Ltd. Дата публикации: 2020-04-30.

Adaptive thermal actuator array for wafer-level applications

Номер патента: US20180067160A1. Автор: Eric J.M. Moret. Владелец: Intel Corp. Дата публикации: 2018-03-08.

Adaptive thermal actuator array for wafer-level applications

Номер патента: US20180067160A1. Автор: Eric J.M. Moret. Владелец: Intel Corp. Дата публикации: 2018-03-08.

Method and apparatus for wafer-level burn-in and testing of integrated circuits

Номер патента: US20010033183A1. Автор: David Thompson,Andreas Fenner. Владелец: MEDTRONIC INC. Дата публикации: 2001-10-25.

Method and apparatus for wafer-level burn-in

Номер патента: US6627917B1. Автор: Lary R. Larson,Paul F. Gerrish,Andreas A. Fenner,Daniel E. Fulton,James W. Bell,James Thomas May. Владелец: MEDTRONIC INC. Дата публикации: 2003-09-30.

Wafer level burn-in method and wafer level burn-in apparatus

Номер патента: TW200723428A. Автор: Terutsugu Segawa,Minoru Sanada. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2007-06-16.

Method, circuit and system for determining burn-in reliability from wafer level burn-in

Номер патента: US20050174138A1. Автор: Kenneth Marr. Владелец: Individual. Дата публикации: 2005-08-11.

Heat spreaders for use in semiconductor device testing, such as burn-in testing

Номер патента: US12078672B2. Автор: Xiaopeng Qu,Amy R. Griffin,Wesley J. Orme. Владелец: Micron Technology Inc. Дата публикации: 2024-09-03.

Hybrid IC with circuit for burn-in test

Номер патента: US6157202A. Автор: Toshiya Nakano. Владелец: Mitsubishi Electric Corp. Дата публикации: 2000-12-05.

Burn-in test method for a semiconductor chip and burn-in test apparatus therefor

Номер патента: US20020050813A1. Автор: Shigehisa Yamamoto. Владелец: Individual. Дата публикации: 2002-05-02.

Sequential Burn-In Test Mechanism

Номер патента: US20140062515A1. Автор: Victor Zia,Arman Vassighi. Владелец: Intel Corp. Дата публикации: 2014-03-06.

Wafer Level Burn-In System

Номер патента: US20180328978A1. Автор: Storey Robert W.,Caldwell William Robert,Wilson Clint D.,Prince Glenn Lee,Collins Stephen Kyle,Walker Michael S.,Cage Kerry F.. Владелец: . Дата публикации: 2018-11-15.

Fixture for burn-in testing of semiconductor wafers

Номер патента: US5461328A. Автор: Brian Higgins,Kevin M. Devereaux,Mark Bunn. Владелец: Micron Technology Inc. Дата публикации: 1995-10-24.

Wafer level burn-in using light as the stimulating signal

Номер патента: US6885203B1. Автор: Meral Bradley Woodberry. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2005-04-26.

Wafer burn-in test circuit for a semiconductor memory device

Номер патента: US5986917A. Автор: Yun-sang Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-11-16.

Burn-in testing apparatus

Номер патента: US20120326740A1. Автор: Li-Hsun Chen,Chi-Ren Chen,Chiang-Cheng FAN. Владелец: Chroma ATE Inc. Дата публикации: 2012-12-27.

Burn-in testing apparatus

Номер патента: US8779788B2. Автор: Li-Hsun Chen,Chi-Ren Chen,Chiang-Cheng FAN. Владелец: Chroma ATE Inc. Дата публикации: 2014-07-15.

Wafer-level burn-in

Номер патента: AU6346800A. Автор: Sammy K. Brown,Andrew K. Wiggin,George E. Avery,Martin P. Goetz,Allan Calamoneri,John Zsaio. Владелец: Alpine Microsystems Inc. Дата публикации: 2001-02-05.

Semiconductor device and burn-in test method thereof

Номер патента: US20210123972A1. Автор: Koji Suzuki,Masaaki Tanimura. Владелец: Renesas Electronics Corp. Дата публикации: 2021-04-29.

High-pressure burn-in test apparatus

Номер патента: US20210356506A1. Автор: Yi-Ming Hung. Владелец: Individual. Дата публикации: 2021-11-18.

High-pressure burn-in test apparatus

Номер патента: US11385275B2. Автор: Yi-Ming Hung. Владелец: Individual. Дата публикации: 2022-07-12.

Wafer burn-in test circuit and semiconductor memory including the same

Номер патента: US20180120374A1. Автор: Young Jae Choi. Владелец: SK hynix Inc. Дата публикации: 2018-05-03.

Burn-in testing of circuits

Номер патента: US20180335471A1. Автор: Human Boluki,Rex Kho,Markus Schuemmer. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-11-22.

TEST PROBE FOR WAFER-LEVEL AND PANEL-LEVEL TESTING

Номер патента: US20200233033A1. Автор: Kim Hyoung Il. Владелец: . Дата публикации: 2020-07-23.

Vertical probe card

Номер патента: US20190250190A1. Автор: Kai Liu,Yuanjun Shi. Владелец: Twinsolution Technology (suzhou) Ltd. Дата публикации: 2019-08-15.

Reusable carrier for burn-in / testing of unpackaged dies

Номер патента: KR950006474A. Автор: 신수림 사무엘,킁 탄 시우. Владелец: 선라이트 피티이. 리미티드. Дата публикации: 1995-03-21.

Universal wafer carrier for wafer level die burn-in

Номер патента: US5905382A. Автор: Alan G. Wood,Tim J. Corbett. Владелец: Micron Technology Inc. Дата публикации: 1999-05-18.

Test socket and test board for wafer level semiconductor testing

Номер патента: US20090079461A1. Автор: Ming-Hsun Sung,Shih-Ming Chen,Sheng-Feng Lu,Chien-Pang Lin. Владелец: VisEra Technologies Co Ltd. Дата публикации: 2009-03-26.

Burn-in test socket apparatus

Номер патента: US5865639A. Автор: Masahiro Fuchigami,Salvatore P. Rizzo. Владелец: Texas Instruments Inc. Дата публикации: 1999-02-02.

Planarizing probe card

Номер патента: WO2009075681A1. Автор: Raffi Garabedian,Ken Karklin. Владелец: TOUCHDOWN TECHNOLOGIES, INC.. Дата публикации: 2009-06-18.

Fine pitch interface for probe card

Номер патента: US20140091825A1. Автор: Ka Ng Chui. Владелец: Corad Tech Inc. Дата публикации: 2014-04-03.

Probe card for a testing apparatus of electronic devices

Номер патента: WO2024132831A1. Автор: Flavio Maggioni. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2024-06-27.

Probe head and probe card comprising same

Номер патента: US20230152350A1. Автор: Bum Mo Ahn,Sung Hyun BYUN,Dong Hyeok Seo. Владелец: Point Engineering Co Ltd. Дата публикации: 2023-05-18.

Probe card for a testing apparatus of electronic devices

Номер патента: SG11201909342TA. Автор: Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2019-11-28.

Probe card for a testing apparatus of electronic devices

Номер патента: MY200160A. Автор: Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2023-12-09.

Probe card for a testing apparatus of electronic devices

Номер патента: US20200057095A1. Автор: Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2020-02-20.

Probe card for a testing apparatus of electronic devices

Номер патента: US20220099703A1. Автор: Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2022-03-31.

Probe card for a testing apparatus of electronic devices

Номер патента: PH12019502351A1. Автор: Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2020-12-07.

Probe card for a testing apparatus of electronic devices

Номер патента: EP3615949A1. Автор: Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2020-03-04.

Method, system and probe for measuring and visualizing values of an electromagnetic parameter of a pcb

Номер патента: EP4296691A1. Автор: Gerd Bresser. Владелец: Rohde and Schwarz GmbH and Co KG. Дата публикации: 2023-12-27.

Probe head controlling mechanism for probe card assemblies

Номер патента: WO2010018463A2. Автор: Andrew W. Mcfarland,Brandon Liew,James M. Porter,Kevin Y. Yasumura. Владелец: Formfactor , Inc.. Дата публикации: 2010-02-18.

An amplitude-modulating probe card and its probe and amplitude-modulating structure

Номер патента: US20230384348A1. Автор: Liangyu ZHAO,Ailin WANG. Владелец: Maxone Semiconductor Suzhou Co Ltd. Дата публикации: 2023-11-30.

Probe head and probe card having same

Номер патента: US11860192B2. Автор: Seung Ho Park,Bum Mo Ahn,Sung Hyun BYUN. Владелец: Point Engineering Co Ltd. Дата публикации: 2024-01-02.

Positioner of probe card and probe head of probe card

Номер патента: US20150377926A1. Автор: Che-Wei Lin,Tsung-Yi Chen,Shang-Jung Hsieh,Chung-Tse Lee,Chia-Yuan KUO,Tien-Chia LI,Tzu-Yang Chen. Владелец: MPI Corp. Дата публикации: 2015-12-31.

Probe card for a testing apparatus of electronic devices

Номер патента: US11782075B2. Автор: Riccardo Liberini. Владелец: Technoprobe SpA. Дата публикации: 2023-10-10.

Probe head and upper guider plate

Номер патента: US20160223590A1. Автор: Yung-Hsin Chen,Chih-Hao Hsu,Sang-Yi LIN. Владелец: MPI Corp. Дата публикации: 2016-08-04.

Vertical probe head with a probe guide comprising circuit components integrated therein

Номер патента: EP4010713A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2022-06-15.

Vertical Probe Head

Номер патента: US20220155349A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2022-05-19.

Method of centering probe head in mounting frame

Номер патента: US20220276281A1. Автор: Kalyanjit GHOSH,Doug Ondricek,Paul Hsiao. Владелец: Formfactor Inc. Дата публикации: 2022-09-01.

Probe head with an improved contact between contact probes and metallized guide holes

Номер патента: US20240012027A1. Автор: Raffaele VALLAURI. Владелец: Technoprobe SpA. Дата публикации: 2024-01-11.

Method, system and probe for measuring and visualizing values of an electromagnetic parameter of a pcb

Номер патента: US20230417821A1. Автор: Gerd Bresser. Владелец: Rohde and Schwarz GmbH and Co KG. Дата публикации: 2023-12-28.

Method of centering probe head in mounting frame

Номер патента: US12044704B2. Автор: Kalyanjit GHOSH,Paul Hsiao,Douglas Stewart Ondricek. Владелец: Formfactor Inc. Дата публикации: 2024-07-23.

Probe head with an improved contact between contact probes and metallized guide holes

Номер патента: EP4244635A1. Автор: Raffaele VALLAURI. Владелец: Technoprobe SpA. Дата публикации: 2023-09-20.

Probe head securing mechanism for probe assembly

Номер патента: US20190170816A1. Автор: Mohsen H. Mardi,Lik Huay Lim,King Yon Lew,Andy Widjaja. Владелец: Xilinx Inc. Дата публикации: 2019-06-06.

Probe head with improved cooling system

Номер патента: WO2024133066A1. Автор: Raffaele VALLAURI. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2024-06-27.

Probe head for testing electronic devices comprising integrated optical elements

Номер патента: US20230305054A1. Автор: Riccardo Vettori,Roberto Crippa. Владелец: Technoprobe SpA. Дата публикации: 2023-09-28.

Probe head for testing electronic devices comprising integrated optical elements

Номер патента: EP4204827A1. Автор: Riccardo Vettori,Roberto Crippa. Владелец: Technoprobe SpA. Дата публикации: 2023-07-05.

Vertical probe head with a probe guide comprising circuit components integrated therein

Номер патента: WO2021023740A1. Автор: Flavio Maggioni. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2021-02-11.

Mems probe card

Номер патента: US20230324437A1. Автор: Liangyu ZHAO,Ailin WANG. Владелец: Maxone Semiconductor Suzhou Co Ltd. Дата публикации: 2023-10-12.

Thermal control of a probe card assembly

Номер патента: WO2017151231A1. Автор: Kevin A. Thompson,Isaac N. Silva. Владелец: Teradyne, Inc.. Дата публикации: 2017-09-08.

Probe card cassette and probe card

Номер патента: US8040147B2. Автор: Takashi Ohtori,Shiro Nozaki,Kenichi Tsunogaki. Владелец: Panasonic Corp. Дата публикации: 2011-10-18.

Thermal control of a probe card assembly

Номер патента: WO2017151231A9. Автор: Kevin A. Thompson,Isaac N. Silva. Владелец: Teradyne, Inc.. Дата публикации: 2017-10-19.

Probe card for device under test

Номер патента: US12044719B2. Автор: Paul Brohlin,Trevor Hubbard. Владелец: Texas Instruments Inc. Дата публикации: 2024-07-23.

Probe card transporting apparatus and method

Номер патента: US20240183895A1. Автор: Ho Young Lee,Seung Chan Lee. Владелец: Semes Co Ltd. Дата публикации: 2024-06-06.

Probe card cassette and probe card

Номер патента: US20110006798A1. Автор: Takashi Ohtori,Shiro Nozaki,Kenichi Tsunogaki. Владелец: Panasonic Corp. Дата публикации: 2011-01-13.

Probe card alignment

Номер патента: US20190018044A1. Автор: Martin Eckert,Roland Dieterle,Siegfried TOMASCHKO. Владелец: International Business Machines Corp. Дата публикации: 2019-01-17.

Probe card alignment

Номер патента: US20190391179A1. Автор: Martin Eckert,Roland Dieterle,Siegfried TOMASCHKO. Владелец: International Business Machines Corp. Дата публикации: 2019-12-26.

Probe card alignment

Номер патента: US20190018043A1. Автор: Martin Eckert,Roland Dieterle,Siegfried TOMASCHKO. Владелец: International Business Machines Corp. Дата публикации: 2019-01-17.

Test apparatus having a probe card and connector mechanism

Номер патента: WO2013006768A3. Автор: William A. Funk,Bryan J. Root,Michael Palumbo. Владелец: CELADON SYSTEMS, INC.. Дата публикации: 2013-03-14.

Test apparatus having a probe card and connector mechanism

Номер патента: US20140239996A1. Автор: William A. Funk,Bryan J. Root,John L. Dunklee,Michael Palumbo. Владелец: Celadon Systems Inc. Дата публикации: 2014-08-28.

A wedge amplitude-modulation probe card and a main body thereof

Номер патента: US20240053384A1. Автор: Ming Zhou,Haichao Yu. Владелец: Maxone Semiconductor Suzhou Co Ltd. Дата публикации: 2024-02-15.

Probe card device used in probing apparatus

Номер патента: US5825192A. Автор: Junichi Hagihara. Владелец: Tokyo Electron Ltd. Дата публикации: 1998-10-20.

Test apparatus having a probe card and connector mechanism

Номер патента: US20140210501A1. Автор: William A. Funk,Bryan J. Root,John L. Dunklee. Владелец: Celadon Systems Inc. Дата публикации: 2014-07-31.

Probe card assembly

Номер патента: US20170356933A1. Автор: David L. Gardell,David M. Audette,Dustin FREGEAU,Grant W. WAGNER,Peter W. NEFF,Frederick H. Roy, III. Владелец: International Business Machines Corp. Дата публикации: 2017-12-14.

Probe card assembly

Номер патента: US20160077129A1. Автор: David L. Gardell,David M. Audette,Dustin FREGEAU,Grant W. WAGNER,Peter W. NEFF,Frederick H. Roy, III. Владелец: International Business Machines Corp. Дата публикации: 2016-03-17.

Probe card pad geometry in automated test equipment

Номер патента: WO2021133557A1. Автор: Brian Brecht,Steve Ledford. Владелец: Teradyne, Inc.. Дата публикации: 2021-07-01.

Probe card inspection apparatus

Номер патента: US20150168528A1. Автор: Jung-Woo Kim,Shin-Ho Kang,Joon-Su Ji. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-06-18.

Probe card with segmented substrate

Номер патента: WO2006060467A3. Автор: Scott R Williams. Владелец: K & S Interconnect Inc. Дата публикации: 2006-07-20.

Probe card for device under test

Номер патента: US20230251298A1. Автор: Paul Brohlin,Trevor Hubbard. Владелец: Texas Instruments Inc. Дата публикации: 2023-08-10.

Probe card

Номер патента: US20050007134A1. Автор: Yoshinori Deguchi. Владелец: Renesas Technology Corp. Дата публикации: 2005-01-13.

Probe card pad geometry in automated test equipment

Номер патента: US20210190826A1. Автор: Brian Brecht,Steve Ledford. Владелец: Teradyne Inc. Дата публикации: 2021-06-24.

Probe card with angled probe and wafer testing method using the same

Номер патента: US11994555B2. Автор: Yuan-Chun Wu,Chang-Chun XU,Ni SHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-28.

Probe card device and testing equipment thereof

Номер патента: US11761984B2. Автор: Choon Leong Lou. Владелец: Tecat Technologies Suzhou Ltd. Дата публикации: 2023-09-19.

Method and apparatus for wafer-level testing of semiconductor lasers

Номер патента: US20020109520A1. Автор: David Heald,Legardo REYES. Владелец: Individual. Дата публикации: 2002-08-15.

Fixture for burn-in testing of semiconductor wafers, and a semiconductor wafer

Номер патента: US5424651A. Автор: Robert S. Green,Larren G. Weber. Владелец: Individual. Дата публикации: 1995-06-13.

Display panel and burn-in test method of the display panel

Номер патента: US20240168081A1. Автор: Jida HOU. Владелец: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2024-05-23.

Contactless wafer level burn-in

Номер патента: TW200632349A. Автор: Jian Chen. Владелец: SanDisk Corp. Дата публикации: 2006-09-16.

Contactless wafer level burn-in

Номер патента: TWI280390B. Автор: Jian Chen. Владелец: SanDisk Corp. Дата публикации: 2007-05-01.

SEMICONDUCTOR COMPONENT BURN-IN TEST MODULE AND BURN-IN TEST EQUIPMENT

Номер патента: US20220043052A1. Автор: Tsai Chia-Hung,Wu Kuo-Jung,Wang Yi-Ting,LIANG Hsing-Yueh,LIAO Po-Wei. Владелец: . Дата публикации: 2022-02-10.

HEAT SPREADERS FOR USE IN SEMICONDUCTOR DEVICE TESTING, SUCH AS BURN-IN TESTING

Номер патента: US20210055343A1. Автор: Chun Hyunsuk,Qu Xiaopeng,Griffin Amy R.. Владелец: . Дата публикации: 2021-02-25.

HEAT SPREADERS FOR USE IN SEMICONDUCTOR DEVICE TESTING, SUCH AS BURN-IN TESTING

Номер патента: US20220291280A1. Автор: Qu Xiaopeng,Griffin Amy R.,Orme Wesley J.. Владелец: . Дата публикации: 2022-09-15.

SYSTEM AND METHOD OF AUTOMATED BURN-IN TESTING ON INTEGRATED CIRCUIT DEVICES

Номер патента: US20200379033A1. Автор: Chang Sunny Lai-Ming. Владелец: . Дата публикации: 2020-12-03.

Printed circuit board for performing burn-in test of semiconductor memory device

Номер патента: KR100505613B1. Автор: 조성범. Владелец: 삼성전자주식회사. Дата публикации: 2005-09-26.

Burn-in test apparatus

Номер патента: KR102152914B1. Автор: 문장식. Владелец: 주식회사 유니테스트. Дата публикации: 2020-09-07.

A system for burn-in testing of electronic devices

Номер патента: EP1540360A1. Автор: David Hendrickson,Jovan Jovanovic,Bradley R. Gunn,Alberto J. Calderon. Владелец: Aehr Test Systems Inc. Дата публикации: 2005-06-15.

System and method of automated burn-in testing on integrated circuit devices

Номер патента: TWI791571B. Автор: 黎明 張. Владелец: 加拿大商皇虎科技(加拿大)有限公司. Дата публикации: 2023-02-11.

System for burn-in testing of electronic devices

Номер патента: CN100395556C. Автор: D·亨德里克森,B·R·冈恩,A·J·卡尔德龙,J·约万诺维奇. Владелец: Aehr Test Systems Inc. Дата публикации: 2008-06-18.

System for burn-in testing of electronic devices

Номер патента: US6815966B1. Автор: Jovan Jovanovic,Bradley R. Gunn,Alberto J. Calderon,David S. Hendrickson. Владелец: Aehr Test Systems Inc. Дата публикации: 2004-11-09.

Probe card and test apparatus having the probe card

Номер патента: US20210208183A1. Автор: Kyu Joong AN. Владелец: SK hynix Inc. Дата публикации: 2021-07-08.

Probe card and test apparatus having the probe card

Номер патента: US11193954B2. Автор: Kyu Joong AN. Владелец: SK hynix Inc. Дата публикации: 2021-12-07.

Method for burn-in test and measurement program for burn-in test

Номер патента: TWI278630B. Автор: Suguru Sasaki. Владелец: NEC Electronics Corp. Дата публикации: 2007-04-11.

Burn-in test device and test method using interposer

Номер патента: US20190170814A1. Автор: Joosung Yun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-06-06.

BURN-IN TEST SYSTEM AND METHOD

Номер патента: US20160334462A1. Автор: KIM Dae Kyoung,JUNG Woo Sik. Владелец: . Дата публикации: 2016-11-17.

Tray Transfer for Burn-in Test Sorting Handler

Номер патента: KR100792728B1. Автор: 김종태. Владелец: 미래산업 주식회사. Дата публикации: 2008-01-11.

Probe unit with burn in test

Номер патента: KR940006238A. Автор: 신지 이이노,이타루 이이다. Владелец: 도오교오 에레구토론 야마나시 가부시끼가이샤. Дата публикации: 1994-03-23.

A burn-in test system with protection function of control apparatus

Номер патента: KR20220102406A. Автор: 박병옥. Владелец: 박병옥. Дата публикации: 2022-07-20.

Burn-in test method and burn-in measurement program used therefor

Номер патента: JP4464237B2. Автор: 卓 佐々木. Владелец: NEC Electronics Corp. Дата публикации: 2010-05-19.

Automated loading/unloading of devices for burn-in testing

Номер патента: US20090261854A1. Автор: Wan Yen TEOH,Paiboon SUBPANYADEE,Kurt Joseph PEREZ,Chai Soon TEO,Swee Hin ONG. Владелец: ONG Swee HIN. Дата публикации: 2009-10-22.

Method and system for wafer-level testing

Номер патента: US12025655B2. Автор: Jun He,Yu-Ting Lin,Yung-Liang Kuo,Wei-Hsun LIN,Yinlung Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-02.

Method and system for wafer-level testing

Номер патента: US20240310434A1. Автор: Jun He,Yu-Ting Lin,Yung-Liang Kuo,Wei-Hsun LIN,Yinlung Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-19.

Method and device for wafer-level testing

Номер патента: US11754621B2. Автор: Jun He,Yu-Ting Lin,Yung-Liang Kuo,Wei-Hsun LIN,Yinlung Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-12.

Method and device for wafer-level testing

Номер патента: US12066484B2. Автор: Jun He,Yu-Ting Lin,Yung-Liang Kuo,Wei-Hsun LIN,Yinlung Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Method and device for wafer-level testing

Номер патента: US20230366925A1. Автор: Jun He,Yu-Ting Lin,Yung-Liang Kuo,Wei-Hsun LIN,Yinlung Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

Method and device for wafer-level testing

Номер патента: US20210199710A1. Автор: Jun He,Yu-Ting Lin,Yung-Liang Kuo,Wei-Hsun LIN,Yinlung Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-01.

METHOD AND SYSTEM FOR WAFER-LEVEL TESTING

Номер патента: US20200064396A1. Автор: Lin Yu-Ting,He Jun,LIN Wei-Hsun,KUO YUNG-LIANG,LU YINLUNG. Владелец: . Дата публикации: 2020-02-27.

METHOD AND DEVICE FOR WAFER-LEVEL TESTING

Номер патента: US20210199710A1. Автор: Lin Yu-Ting,He Jun,LIN Wei-Hsun,KUO YUNG-LIANG,LU YINLUNG. Владелец: . Дата публикации: 2021-07-01.

METHOD AND SYSTEM FOR WAFER-LEVEL TESTING

Номер патента: US20210311110A1. Автор: Lin Yu-Ting,He Jun,LIN Wei-Hsun,KUO YUNG-LIANG,LU YINLUNG. Владелец: . Дата публикации: 2021-10-07.

Apparatus and method for wafer level classification of light emitting device

Номер патента: US8476918B2. Автор: Hsin-Chieh Huang. Владелец: Chip Star Ltd. Дата публикации: 2013-07-02.

Test structure and method for performing burn-in testing of a semiconductor product wafer

Номер патента: US5707881A. Автор: Thomas Francis Lum. Владелец: Motorola Inc. Дата публикации: 1998-01-13.

Test board for burn-in test and PC base test

Номер патента: KR100408984B1. Автор: 이국상,맹주석. Владелец: 맹주석. Дата публикации: 2003-12-06.

Heat spreaders for use in semiconductor device testing, such as burn-in testing

Номер патента: US20210055342A1. Автор: Xiaopeng Qu,Amy R. Griffin,Wesley J. Orme. Владелец: Micron Technology Inc. Дата публикации: 2021-02-25.

Heat spreaders for use in semiconductor device testing, such as burn-in testing

Номер патента: US11372043B2. Автор: Xiaopeng Qu,Amy R. Griffin,Wesley J. Orme. Владелец: Micron Technology Inc. Дата публикации: 2022-06-28.

Burn-in-test device

Номер патента: TW440853B. Автор: Frank Weber,Jens Lüpke,Joseph Sillup. Владелец: SIEMENS AG. Дата публикации: 2001-06-16.

Sequential Burn-In Test Mechanism

Номер патента: US20140062515A1. Автор: Vassighi Arman,Zia Victor. Владелец: . Дата публикации: 2014-03-06.

Wafer burn-in test circuit and semiconductor memory including the same

Номер патента: US20180120374A1. Автор: Young Jae Choi. Владелец: SK hynix Inc. Дата публикации: 2018-05-03.

WAFER BURN-IN TEST CIRCUIT AND SEMICONDUCTOR MEMORY INCLUDING THE SAME

Номер патента: US20190293712A1. Автор: Choi Young Jae. Владелец: SK HYNIX INC.. Дата публикации: 2019-09-26.

BURN-IN TESTING OF CIRCUITS

Номер патента: US20180335471A1. Автор: Kho Rex,Schuemmer Markus,Boluki Human. Владелец: . Дата публикации: 2018-11-22.

BURN-IN TESTING OF INDIVIDUALLY PERSONALIZED SEMICONDUCTOR DEVICE CONFIGURATION

Номер патента: US20170370988A1. Автор: Motika Franco,You Soungbum. Владелец: . Дата публикации: 2017-12-28.

Wafer burn-in testing circuit of semiconductor memory device

Номер патента: KR0119887B1. Автор: 이재형,석용식. Владелец: 김광호. Дата публикации: 1997-10-30.

device for adjusting distance of picker in burn-in test handler

Номер патента: KR100291587B1. Автор: 정기현,정백운. Владелец: 미래산업주식회사. Дата публикации: 2001-05-15.

Method and device for automatic kill head sorting in burn-in test

Номер патента: KR100524898B1. Автор: 조진원. Владелец: 삼성전자주식회사. Дата публикации: 2005-12-27.

Wafer Burn-in Test Circuit of Semiconductor Memory Device

Номер патента: KR960002369A. Автор: 이재형,석용식. Владелец: 김광호. Дата публикации: 1996-01-26.

burn-in test device having air volume guide

Номер патента: KR102168284B1. Автор: 유영돈. Владелец: 주식회사 두오텍. Дата публикации: 2020-10-21.

Integrated circuit capable of performing package burn-in test and method thereof

Номер патента: KR100674988B1. Автор: 경계현. Владелец: 삼성전자주식회사. Дата публикации: 2007-01-29.

Wafer burn-in test circuit for semiconductor memory device

Номер патента: KR100228530B1. Автор: 이윤상. Владелец: 윤종용. Дата публикации: 1999-11-01.

Integrated circuit burn-in test system and associated methods

Номер патента: US20030214316A1. Автор: Riccardo Maggi,Massimo Scipioni. Владелец: STMicroelectronics lnc USA. Дата публикации: 2003-11-20.

System and method for burn-in test control

Номер патента: US7103495B2. Автор: Naoki Kiryu. Владелец: Toshiba Corp. Дата публикации: 2006-09-05.

Semiconductor chip burn-in test device possible approval of high speed frequency

Номер патента: KR100771560B1. Автор: 양재영. Владелец: 주식회사 두성기술. Дата публикации: 2007-10-30.

Method for performing a high-temperature burn-in test on integrated circuits

Номер патента: US6181143B1. Автор: Uttam Shyamalindu Ghoshal. Владелец: International Business Machines Corp. Дата публикации: 2001-01-30.

Heat pipe with chilled liquid condenser system for burn-in testing

Номер патента: US7129731B2. Автор: Donald M. Ernst,John Gilbert Thayer. Владелец: Thermal Corp. Дата публикации: 2006-10-31.

Burn-in test circuit

Номер патента: KR100386615B1. Автор: 김택승. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-06-02.

Wafer burn-in test circuit of a semiconductor memory device

Номер патента: CN1053757C. Автор: 昔容轼,李在蓥. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-06-21.

Wafer burn-in test circuit of a semiconductor memory device

Номер патента: TW263562B. Автор: Seok Yong-Sik,Lee Jae-Hyeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1995-11-21.

Sliding door for opening burn-in test device and opening / closing control system

Номер патента: KR101754054B1. Автор: 유영돈. Владелец: 주식회사 두오텍. Дата публикации: 2017-07-05.

Increasing thermal isolation of a probe card assembly

Номер патента: US20090230981A1. Автор: Kevin Y. Yasumura,Timothy Blomgren,Jacob C. Chang,Michael W. Huebner. Владелец: Formfactor Inc. Дата публикации: 2009-09-17.

Probe card for a testing apparatus of electronic devices with improved thermal management

Номер патента: WO2024132800A1. Автор: Stefano Felici,Flavio Maggioni. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2024-06-27.

Monitored burn-in test system and monitored burn-in test method of microcomputers

Номер патента: EP1136832A2. Автор: Kazuyoshi Ajiro. Владелец: NEC Corp. Дата публикации: 2001-09-26.

Probe card capable of transmitting high-frequency signals

Номер патента: US20170059613A1. Автор: Wei-Cheng Ku,Jun-Liang Lai. Владелец: MPI Corp. Дата публикации: 2017-03-02.

Testing apparatus for wafer level ic testing

Номер патента: PH12016500056A1. Автор: DeBauche John,Campion Dan,Andres Michael,Rott Steve,Sherry Jeffrey,Halvorson Brian,Eshult Brian. Владелец: Johnstech Int Corp. Дата публикации: 2016-03-28.

MVP Probe Card Board Manufacturing Method For Wafer Level Test

Номер патента: KR101139921B1. Автор: 이동욱. Владелец: 주식회사 브리지. Дата публикации: 2012-04-30.

Method for testing using a universal wafer carrier for wafer level die burn-in

Номер патента: US7167014B2. Автор: Alan G. Wood,Tim J. Corbett. Владелец: Micron Technology Inc. Дата публикации: 2007-01-23.

Universal wafer carrier for wafer level die burn-in

Номер патента: US20030206030A1. Автор: Alan Wood,Tim Corbett. Владелец: Corbett Tim J.. Дата публикации: 2003-11-06.

Burn-in testing apparatus and method

Номер патента: TWI351768B. Автор: Gordon B Kuenster,Christopher A Lopez,Brian J Denheyer. Владелец: Wells CTI LLC. Дата публикации: 2011-11-01.

Burn-in test jig

Номер патента: KR940010258A. Автор: 가즈오 이노우에,히사시 오구모. Владелец: 니혼 고오세이 고무 가부시끼가이샤. Дата публикации: 1994-05-24.

Burn-in socket and burn-in test method using it

Номер патента: KR940027116A. Автор: 박재명,김구성. Владелец: 김광호. Дата публикации: 1994-12-10.

Burn-in testing device

Номер патента: EP0905521A2. Автор: Ryu Maeda. Владелец: MOLEX LLC. Дата публикации: 1999-03-31.

A kind of electrolytic capacitor burn-in test special fixture

Номер патента: CN109444483A. Автор: 李英华. Владелец: Shenzhen Hongming Automation Equipment Co Ltd. Дата публикации: 2019-03-08.

Burn-in testing apparatus and method

Номер патента: TW200605368A. Автор: Gordon B Kuenster,Christopher A Lopez,Brian J Denheyer. Владелец: Wells CTI LLC. Дата публикации: 2006-02-01.

Probe card structure

Номер патента: US20160305982A1. Автор: Chien-Yao Hung. Владелец: Hermes Epitek Corp. Дата публикации: 2016-10-20.

Probe card and signal path switching module assembly

Номер патента: US20190120877A1. Автор: Hao Wei,Chia-Nan Chou,Yu-Hao Chen,Chien-Chiao CHEN,Chia-An YU. Владелец: MPI Corp. Дата публикации: 2019-04-25.

Probe head with air cooling system

Номер патента: WO2024132749A1. Автор: Raffaele VALLAURI. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2024-06-27.

Method and System for Wafer Level Testing of Semiconductor Chips

Номер патента: US20120013359A1. Автор: Zhaojun SHAO. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-01-19.

Pulley on-chip wafer level burn-in test circuit and its method

Номер патента: KR100278926B1. Автор: 김영희,남영준. Владелец: 현대전자산업주식회사. Дата публикации: 2001-01-15.

Fullion chip wafer level burn-in test circuit and method

Номер патента: JP3774081B2. Автор: 英俊 南,榮煕 金. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-05-10.

Wafer-level burn-in and test

Номер патента: WO1997043656A3. Автор: Igor Y Khandros,David V Pedersen. Владелец: Formfactor Inc. Дата публикации: 1998-03-12.

Apparatus to prevent damage to probe card

Номер патента: US20030122564A1. Автор: Phillip Byrd. Владелец: Individual. Дата публикации: 2003-07-03.

Method to prevent damage to probe card

Номер патента: US20060114011A1. Автор: Phillip Byrd. Владелец: Individual. Дата публикации: 2006-06-01.

Switching power supply burn in test circuit

Номер патента: US20180196109A1. Автор: Gang Zheng,Shu Zou. Владелец: Dongguan Guanjia Electronic Equipment Co Ltd. Дата публикации: 2018-07-12.

Probe card transfer assist apparatus and inspection equipment using same

Номер патента: US20070126441A1. Автор: Munetoshi Nagasaka,Chiaki Mochizuki. Владелец: Tokyo Electron Ltd. Дата публикации: 2007-06-07.

Guide plate for a probe card and probe card provided with same

Номер патента: US20170082657A1. Автор: Teppei KIMURA,Liwen FAN. Владелец: Japan Electronic Materials Corp. Дата публикации: 2017-03-23.

Verticle probe card for attachment within a central corridor of a magnetic field generator

Номер патента: US6556031B2. Автор: James M. Forbis,Dennis J. Cahalan. Владелец: Veeco Instruments Inc. Дата публикации: 2003-04-29.

Probe card transporting apparatus and to-be-connected body moving mechanism

Номер патента: US20040164756A1. Автор: Masaru Suzuki. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-08-26.

Probe card having separated upper and lower probe needle groups

Номер патента: US5926028A. Автор: Jun Mochizuki. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-07-20.

Probe card, method of manufacturing the probe card and alignment method

Номер патента: US20060132155A1. Автор: Kenji Yamada,Yoshirou Nakata. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2006-06-22.

Probe card and measuring method for semiconductor wafers

Номер патента: US20070241765A1. Автор: Yosuke Kawamata. Владелец: Elpida Memory Inc. Дата публикации: 2007-10-18.

Probe-card multilayer wiring substrate and probe card

Номер патента: US20230408547A1. Автор: Satoshi Abe,Tetsuo Fujimoto,Yusuke Harada,Shinya Hori. Владелец: Japan Electronic Materials Corp. Дата публикации: 2023-12-21.

Probe card management system and probe card management method

Номер патента: US20200191830A1. Автор: Shinjiro Watanabe. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-06-18.

Probe card

Номер патента: US20240044942A1. Автор: Hiroshi Yamanaka,Yuuki Nakamura,Masatoshi HASAKA. Владелец: Japan Electronic Materials Corp. Дата публикации: 2024-02-08.

Probe head and vertical probe card comprising the same

Номер патента: US20230393172A1. Автор: Tien-Chia Lee,Chia-Hsiang Yu,Wen-Tsung Sung. Владелец: Silicon Future Manufacturing Co Ltd. Дата публикации: 2023-12-07.

Probe card

Номер патента: US12092660B2. Автор: Masahiro Takahashi,Shuji Takahashi,Satoshi Shoji,Kazuya Soma,Naruhiko Nishiwaki. Владелец: NHK Spring Co Ltd. Дата публикации: 2024-09-17.

Vertical probe card and air cooled probe head system

Номер патента: MY140511A. Автор: Michael L Anderson,Michael A Casolo,Edward A Mccloud,Mostarshed Shahriar. Владелец: QualiTau Inc. Дата публикации: 2009-12-31.

Vertical probe card and air cooled probe head system

Номер патента: EP1917534A2. Автор: Shahriar Mostarshed,Michael L. Anderson,Edward A. Mccloud,Michael A. Casolo. Владелец: QualiTau Inc. Дата публикации: 2008-05-07.

Probe head, probe coupler and probe arrangement

Номер патента: US20210148955A1. Автор: Andreas Ziegler,Martin Peschke,Roland Krimmer,Dirk Gehrke,Reiner Franke. Владелец: Rohde and Schwarz GmbH and Co KG. Дата публикации: 2021-05-20.

Probe card

Номер патента: US20230107255A1. Автор: Masahiro Takahashi,Shuji Takahashi,Satoshi Shoji,Kazuya Soma,Naruhiko Nishiwaki. Владелец: NHK Spring Co Ltd. Дата публикации: 2023-04-06.

Replaceable probe head having an operational amplifier

Номер патента: WO2013032986A2. Автор: Christopher J. Palassis,Christopher M. SCHUYLER. Владелец: YSI INCORPORATED. Дата публикации: 2013-03-07.

Replaceable probe head having an operational amplifier

Номер патента: EP2751528A2. Автор: Christopher J. Palassis,Christopher M. SCHUYLER. Владелец: YSI Inc. Дата публикации: 2014-07-09.

Probe head with linear probe

Номер патента: US11143674B2. Автор: Tzu Yang CHEN,Chia Ju Wei. Владелец: MPI Corp. Дата публикации: 2021-10-12.

Vertical probe head having an improved contact with a device under test

Номер патента: EP3903111A1. Автор: Stefano Felici. Владелец: Technoprobe SpA. Дата публикации: 2021-11-03.

Vertical probe head having an improved contact with a device under test

Номер патента: WO2020136045A1. Автор: Stefano Felici. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2020-07-02.

Probe card device and probe head

Номер патента: US20190302147A1. Автор: Wei-Jhih Su,Chih-Peng HSIEH. Владелец: Chunghwa Precision Test Technology Co Ltd. Дата публикации: 2019-10-03.

Cantilever type probe head

Номер патента: US20100259290A1. Автор: Shih-Ming Liu. Владелец: Allstron Inc. Дата публикации: 2010-10-14.

Probe head and probe assembly

Номер патента: US20240036073A1. Автор: Zhou Yi Lin. Владелец: Azoth Studio Ltd Co. Дата публикации: 2024-02-01.

A probe head holder

Номер патента: EP1327889A3. Автор: Mark W. Nightingale,Marc W. Gessford. Владелец: Tektronix Inc. Дата публикации: 2004-03-10.

Vertical probe head having an improved contact with a device under test

Номер патента: US11867723B2. Автор: Stefano Felici. Владелец: Technoprobe SpA. Дата публикации: 2024-01-09.

Contact probe for probe heads of electronic devices

Номер патента: EP4193157A1. Автор: Riccardo Vettori. Владелец: Technoprobe SpA. Дата публикации: 2023-06-14.

Probe head, probe assembly and spring probe structure including the same

Номер патента: US20240085455A1. Автор: Zhou Yi Lin. Владелец: Azoth Studio Ltd Co. Дата публикации: 2024-03-14.

Probe head with linear probe

Номер патента: US20200011896A1. Автор: Tzu Yang CHEN,Chia Ju Wei. Владелец: MPI Corp. Дата публикации: 2020-01-09.

Contact probe for a probe head

Номер патента: US20230288447A1. Автор: Roberto Crippa,Stefano Felici,Fabio MORGANA. Владелец: Technoprobe SpA. Дата публикации: 2023-09-14.

Improved contact element for a probe head for testing high-frequency electronic devices and relating probe head

Номер патента: WO2022101288A1. Автор: Roberto Crippa. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2022-05-19.

Improved contact element for a probe head for testing high-frequency electronic devices and relating probe head

Номер патента: US20240012025A1. Автор: Roberto Crippa. Владелец: Technoprobe SpA. Дата публикации: 2024-01-11.

Improved contact element for a probe head for testing high-frequency electronic devices and relating probe head

Номер патента: EP4244634A1. Автор: Roberto Crippa. Владелец: Technoprobe SpA. Дата публикации: 2023-09-20.

Probe head having spring probes

Номер патента: US20240118313A1. Автор: Wei-Cheng Ku,Wen-Yi Wang,Chih-Wei Wen. Владелец: MPI Corp. Дата публикации: 2024-04-11.

Probe card

Номер патента: US20240053383A1. Автор: Chao-Cheng Ting,Huai-Yi Wang,Li-Hong LU,Lung-Chuan TSAI. Владелец: Bao Hong Semi Technology Co Ltd. Дата публикации: 2024-02-15.

Probe card

Номер патента: US11953521B2. Автор: Chao-Cheng Ting,Huai-Yi Wang,Li-Hong LU,Lung-Chuan TSAI. Владелец: Bao Hong Semi Technology Co Ltd. Дата публикации: 2024-04-09.

Probe Card

Номер патента: US20100052710A1. Автор: Cheng-Chin Ni. Владелец: King Yuan Electronics Co Ltd. Дата публикации: 2010-03-04.

Probe card

Номер патента: US12025637B2. Автор: Chin-Tien Yang,Chia-Tai Chang,Chin-Yi Tsai,Chen-Chih Yu,Cheng-Nien Su. Владелец: MPI Corp. Дата публикации: 2024-07-02.

Blade probe and blade probe card

Номер патента: WO2007098293A3. Автор: Bahadir Tunaboylu,David T Beatson,Habib Kilicaslan,David F Mcdevitt. Владелец: David F Mcdevitt. Дата публикации: 2008-01-10.

Blade probe and blade probe card

Номер патента: WO2007098293A2. Автор: David T. Beatson,Bahadir Tunaboylu,Habib Kilicaslan,David F. Mcdevitt. Владелец: Sv Probe Pte Ltd.. Дата публикации: 2007-08-30.

Cantilever type probe card and method for production thereof

Номер патента: US20040070417A1. Автор: Yukihiro Isa. Владелец: UMC Japan Co Ltd. Дата публикации: 2004-04-15.

Probe card

Номер патента: US20230194571A1. Автор: Ming-Hsien Chen,Tzu-Chien Wang,Wen-Yuan Hsu,jia-lin Lu. Владелец: Hermes Testing Solutions Inc. Дата публикации: 2023-06-22.

Cryogenic probe card

Номер патента: EP4314847A1. Автор: Gregory Nolan NIELSON. Владелец: Nielson Scientific LLC. Дата публикации: 2024-02-07.

Cryogenic probe card

Номер патента: US20240118315A1. Автор: Gregory Nielson. Владелец: Nielson Scientific LLC. Дата публикации: 2024-04-11.

Probe card

Номер патента: US20130342235A1. Автор: Chung-Soo Han. Владелец: Sedicon Co Ltd. Дата публикации: 2013-12-26.

Probe card capable of transmitting high-frequency signals

Номер патента: US9658249B2. Автор: Wei-Cheng Ku,Jun-Liang Lai. Владелец: MPI Corp. Дата публикации: 2017-05-23.

Probe card locking device of a semiconductor wafer probe station

Номер патента: US5644246A. Автор: Ung-Gi Park,Dong-Seck Lee,Wha-Young Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1997-07-01.

Cleaning methods for probe cards

Номер патента: US20200041552A1. Автор: Chih-Chiang LAI. Владелец: Winbond Electronics Corp. Дата публикации: 2020-02-06.

Jig for manufacturing probe card, probe alignment system comprising same, and probe card manufactured thereby

Номер патента: US20230176092A1. Автор: Oug Ki Lee. Владелец: Individual. Дата публикации: 2023-06-08.

Probe card device and neck-like probe thereof

Номер патента: US20210223289A1. Автор: Kai-Chieh Hsieh,Wei-Jhih Su,Wen-Tsung Lee,Hsun-Tai Wei. Владелец: Chunghwa Precision Test Technology Co Ltd. Дата публикации: 2021-07-22.

Probe card device and rectangular probe thereof

Номер патента: US20190317131A1. Автор: Wei-Jhih Su,Chih-Peng HSIEH. Владелец: Chunghwa Precision Test Technology Co Ltd. Дата публикации: 2019-10-17.

Method for wafer level reliability

Номер патента: US20140106481A1. Автор: KyeNam Lee,HyunHo Jang. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2014-04-17.

METHOD FOR WAFER LEVEL RELIABILITY

Номер патента: US20160336242A1. Автор: Lee KyeNam,Jang HyunHo. Владелец: MAGNACHIP SEMICONDUCTOR, LTD.. Дата публикации: 2016-11-17.

Connecting device for burn-in test equipment

Номер патента: TW200922016A. Автор: Chul-Sub Lee,Oh-Hyeon Kwon. Владелец: TYCO ELECTRONICS AMP KOREA LTD. Дата публикации: 2009-05-16.

Method for performing burn-in test

Номер патента: US20120139566A1. Автор: Koji Shimazawa,Masaaki Kaneko,Takashi Honda,Tsuguki Noma,Yoshito NISHIOKA,Yoichi Mugino. Владелец: TDK Corp. Дата публикации: 2012-06-07.

Method for performing burn-in test

Номер патента: US8957692B2. Автор: Koji Shimazawa,Masaaki Kaneko,Takashi Honda,Tsuguki Noma,Yoshito NISHIOKA,Yoichi Mugino. Владелец: TDK Corp. Дата публикации: 2015-02-17.

Large probe card for testing electronic devices and related manufacturing method

Номер патента: EP4252011A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2023-10-04.

Large probe card for testing electronic devices and related manufacturing method

Номер патента: WO2022112479A1. Автор: Flavio Maggioni. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2022-06-02.

Large probe card for testing electronic devices and related manufacturing method

Номер патента: US20240012028A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2024-01-11.

Mas stator of an NMR probe head with optimized microwave irradiation

Номер патента: US10197653B2. Автор: Armin Purea,Arndt von Bieren. Владелец: Bruker BioSpin GmbH. Дата публикации: 2019-02-05.

Mas stator of an nmr probe head with optimized microwave irradiation

Номер патента: US20180113183A1. Автор: Armin Purea,Arndt von Bieren. Владелец: Bruker BioSpin GmbH. Дата публикации: 2018-04-26.

A probe card manufacturing method including sensing probe and the probe card, probe card inspection system

Номер патента: SG144939A1. Автор: Han-Moo Lee. Владелец: Phicom Corp. Дата публикации: 2008-08-28.

A probe card manufacturing method including sensing probe and the probe card, probe card inspection system

Номер патента: WO2006068388A1. Автор: Han-Moo Lee. Владелец: Phicom Corporation. Дата публикации: 2006-06-29.

Multilayer Substrate and Probe Card

Номер патента: US20080191720A1. Автор: Jun Mochizuki,Hisatomi Hosaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-08-14.

Area array (flip chip) probe card

Номер патента: US6130546A. Автор: Sayed Kamallodin Azizi. Владелец: LSI Logic Corp. Дата публикации: 2000-10-10.

Method for preparing sample for wafer level failure analysis

Номер патента: US11835492B2. Автор: Wen-Lon Gu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-05.

Burn-in test system for projection device

Номер патента: US20090055128A1. Автор: Jian-Sheng Zhou. Владелец: Premier Image Technology China Ltd. Дата публикации: 2009-02-26.

Dram and method for testing the same in the wafer level burn-in test mode

Номер патента: US20130021862A1. Автор: Min-Chung Chou. Владелец: Elite Semiconductor Memory Technology Inc. Дата публикации: 2013-01-24.

Probe card

Номер патента: US20180267084A1. Автор: Wei-Cheng Chen,Chen-Yueh Kung,Wen-Yuan Chang. Владелец: Via Technologies Inc. Дата публикации: 2018-09-20.

Probe card and manufacturing method thereof

Номер патента: US20220170961A1. Автор: Che-Wei Lin,Chin-Yi Lin,Ting-Ju WU,Chien-Kai Hung. Владелец: MPI Corp. Дата публикации: 2022-06-02.

Probe card and manufacturing method thereof

Номер патента: US11874313B2. Автор: Che-Wei Lin,Chin-Yi Lin,Ting-Ju WU,Chien-Kai Hung. Владелец: MPI Corp. Дата публикации: 2024-01-16.

Probe card

Номер патента: US20090219042A1. Автор: Hiroshi Nakayama,Shunsuke Sasaki. Владелец: NHK Spring Co Ltd. Дата публикации: 2009-09-03.

Probe card for a testing apparatus of electronic devices and corresponding space transformer

Номер патента: WO2023227575A1. Автор: Stefano Felici. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2023-11-30.

Probe card and manufacturing method thereof

Номер патента: US20240118316A1. Автор: Che-Wei Lin,Chin-Yi Lin,Ting-Ju WU,Chien-Kai Hung. Владелец: MPI Corp. Дата публикации: 2024-04-11.

Vertical probe head

Номер патента: US20230007997A1. Автор: Chin-Tien Yang,Horng-Chuan Sun,Yu-Hao Chen,Hui-Pin Yang,Chin-Yi Tsai,Yang-Hung Cheng. Владелец: MPI Corp. Дата публикации: 2023-01-12.

Vertical probe head with improved contact properties towards a device under test

Номер патента: US12085588B2. Автор: Stefano Felici. Владелец: Technoprobe SpA. Дата публикации: 2024-09-10.

Probe head and die set having horizontally fine adjustable die and probe head adjusting method

Номер патента: US20220214379A1. Автор: Che-Wei Lin,Chin-Yi Lin,Hsin-Cheng Hung,Keng-Min Su. Владелец: MPI Corp. Дата публикации: 2022-07-07.

Probe head comprising a guide with metallizations and method using it

Номер патента: WO2024133250A1. Автор: Stefano Felici,Fabio MORGANA. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2024-06-27.

High density probe head for chip testing

Номер патента: USH663H. Автор: Dan Massopust. Владелец: Cray Research LLC. Дата публикации: 1989-08-01.

Vertical probe head

Номер патента: US11774468B2. Автор: Chin-Tien Yang,Horng-Chuan Sun,Yu-Hao Chen,Hui-Pin Yang,Chin-Yi Tsai,Yang-Hung Cheng. Владелец: MPI Corp. Дата публикации: 2023-10-03.

Improved vertical probe head

Номер патента: WO2024132683A1. Автор: Stefano Felici. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2024-06-27.

Large probe head for testing electronic devices and related manufacturing method

Номер патента: WO2022112480A1. Автор: Flavio Maggioni. Владелец: TECHNOPROBE S.P.A.. Дата публикации: 2022-06-02.

Large probe head for testing electronic devices and related manufacturing method

Номер патента: EP4252012A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2023-10-04.

Large probe head for testing electronic devices and related manufacturing method

Номер патента: US20230417798A1. Автор: Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2023-12-28.

Probe card

Номер патента: US20020113608A1. Автор: Andy Chen. Владелец: Individual. Дата публикации: 2002-08-22.

Probe card

Номер патента: US5412329A. Автор: Shinji Iino,Keiichi Yokota,Tamio Kubota. Владелец: Tokyo Electron Yamanashi Ltd. Дата публикации: 1995-05-02.

Probe card module

Номер патента: US11156639B2. Автор: Chih-Feng Cheng,Chih-Chieh LIAO,Yu-Min Sun. Владелец: Global Unichip Corp. Дата публикации: 2021-10-26.

Probe card assembly with a dielectric structure

Номер патента: WO2006119405A1. Автор: Bahadir Tunaboylu,Habib Kilicaslan. Владелец: SV Probe Pte Ltd. Дата публикации: 2006-11-09.

Probe card module

Номер патента: US20210223290A1. Автор: Chih-Feng Cheng,Chih-Chieh LIAO,Yu-Min Sun. Владелец: Global Unichip Corp. Дата публикации: 2021-07-22.

Probe card and switch module

Номер патента: EP3745142A1. Автор: Che-Wei Lin,Chin-Yi Lin,Hsueh-Chih Wu,Ting-Ju WU,Keng-Min Su,Ko-Chun WU. Владелец: MPI Corp. Дата публикации: 2020-12-02.

Space transformer comprising an isolation resistor for a probe card, and method for manufacturing same

Номер патента: US20110254578A1. Автор: Min Soo Kim,Sung Man Yoon. Владелец: Imtech Inc Korea. Дата публикации: 2011-10-20.

Probe card

Номер патента: WO2009025427A1. Автор: Yong Goo Lee,Maeng Youl Lee. Владелец: Gigalane Co.Ltd. Дата публикации: 2009-02-26.

Active probe card for high resolution/low noise wafer level testing

Номер патента: US4780670A. Автор: Robert S. Cherry. Владелец: Xerox Corp. Дата публикации: 1988-10-25.

Probe card with reconfigurable circuitry

Номер патента: CA1270069A. Автор: Lloyd A. Walls,Edward S. Hoyt,Timothy A. Horel. Владелец: International Business Machines Corp. Дата публикации: 1990-06-05.

Method and apparatus for inspecting integrated circuit probe cards

Номер патента: US4918374A. Автор: Donald B. Snow,Ronald C. Seubert,John P. Stewart. Владелец: Applied Precision Inc. Дата публикации: 1990-04-17.

Probe card fixture

Номер патента: US4935694A. Автор: Glenn R. Clarridge. Владелец: Electro Scientific Industries Inc. Дата публикации: 1990-06-19.

Probe card assembly and method of attaching probes to the probe card assembly

Номер патента: EP1849016A1. Автор: Bahadir Tunaboylu,Habib Kilicaslan. Владелец: SV Probe Pte Ltd. Дата публикации: 2007-10-31.

Probe card assembly and method of attaching probes to the probe card assembly

Номер патента: WO2006088847A1. Автор: Bahadir Tunaboylu,Habib Kilicaslan. Владелец: Sv Probe Pte Ltd.. Дата публикации: 2006-08-24.

Cross-correlation timing calibration for wafer-level IC tester interconnect systems

Номер патента: US20020049554A1. Автор: Charles Miller. Владелец: Formfactor Inc. Дата публикации: 2002-04-25.

SWITCHING POWER SUPPLY BURN IN TEST CIRCUIT

Номер патента: US20180196109A1. Автор: Zheng Gang,ZOU Shu. Владелец: . Дата публикации: 2018-07-12.

A kind of method and apparatus of smart machine burn-in test

Номер патента: CN110286281A. Автор: 孟旭,汤肖迅,杜军红,邱永伟. Владелец: Shanghai Longcheer Technology Co Ltd. Дата публикации: 2019-09-27.

Transport device for an nmr mas rotor in a probe head ("mas shuttle")

Номер патента: US20200150199A1. Автор: Jonathan de Vries,Roger Meister,Sven Sieber. Владелец: Bruker Switzerland AG. Дата публикации: 2020-05-14.

Integrated circuit that supports and method for wafer-level testing

Номер патента: US5808947A. Автор: David C. McClure. Владелец: SGS Thomson Microelectronics Inc. Дата публикации: 1998-09-15.

Techniques for wafer level die testing using sacrificial structures

Номер патента: US11788929B1. Автор: Pradeep Srinivasan,Brett E. Huff. Владелец: Aeva Inc. Дата публикации: 2023-10-17.

Systems and Methods for Wafer-Level Photonic Testing

Номер патента: US20230343655A1. Автор: Roy Edward Meade,Alexandra WRIGHT,Anatol KHILO,Forrest Sedgwick. Владелец: Ayar Labs Inc. Дата публикации: 2023-10-26.

Systems and methods for wafer-level photonic testing

Номер патента: US12014962B2. Автор: Roy Edward Meade,Alexandra WRIGHT,Anatol KHILO,Forrest Sedgwick. Владелец: Ayar Labs Inc. Дата публикации: 2024-06-18.

Burn-in testing method and device with temperature control

Номер патента: EP1295138A2. Автор: Boon Hee Wee. Владелец: Advanced Micro Devices Inc. Дата публикации: 2003-03-26.

SYSTEMS AND METHODS FOR WAFER-LEVEL LOOPBACK TEST

Номер патента: US20160025807A1. Автор: Jalilizeinali Reza,Loke Alvin Leng Sun,Wee Tin Tin,Bryan Thomas Clark,Knol Stephen Robert,Peterson LuVerne Ray. Владелец: . Дата публикации: 2016-01-28.

Method For Wafer-Level Chip Scale Package Testing

Номер патента: US20170113929A1. Автор: YANG Zhao,BIN Li,Leyue Jiang,Piu Francis Man,Haidong Liu. Владелец: Memsic Semiconductor Wuxi Co Ltd. Дата публикации: 2017-04-27.

Method of adjusting DC parameters of each chip for wafer level

Номер патента: CN106054065A. Автор: 王帆. Владелец: Xian Unilc Semiconductors Co Ltd. Дата публикации: 2016-10-26.

Localized onboard socket heating elements for burn-in test boards

Номер патента: WO2023149913A1. Автор: Tony Leong,Athipat Ratanavarinchai. Владелец: WESTERN DIGITAL TECHNOLOGIES, INC.. Дата публикации: 2023-08-10.

Semiconductor device generating a test voltage for a wafer burn-in test and method thereof

Номер патента: US20070165470A1. Автор: Hi-choon Lee,Jin-hyung Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-07-19.

Semiconductor device structures for burn-in testing and methods thereof

Номер патента: US20190064257A1. Автор: Mark E. Tuttle. Владелец: Micron Technology Inc. Дата публикации: 2019-02-28.

SEMICONDUCTOR DEVICE STRUCTURES FOR BURN-IN TESTING AND METHODS THEREOF

Номер патента: US20190064257A1. Автор: Tuttle Mark E.. Владелец: . Дата публикации: 2019-02-28.

SEMICONDUCTOR DEVICE AND BURN-IN TEST METHOD THEREOF

Номер патента: US20210123972A1. Автор: Suzuki Koji,TANIMURA Masaaki. Владелец: . Дата публикации: 2021-04-29.

SEMICONDUCTOR DEVICE STRUCTURES FOR BURN-IN TESTING AND METHODS THEREOF

Номер патента: US20190170811A1. Автор: Tuttle Mark E.. Владелец: . Дата публикации: 2019-06-06.

SYSTEM AND METHOD FOR VOLTAGE REGULATOR SELF-BURN-IN TEST

Номер патента: US20180348309A1. Автор: OU YANG Kuang-Hua,HSU Kuo-Chan,SHIH Yun-Teng. Владелец: . Дата публикации: 2018-12-06.

System, method and the computer readable storage medium of voltage adjuster burn-in testing

Номер патента: CN108984351A. Автор: 施云腾,欧阳光华生,许国展. Владелец: QUANTA COMPUTER INC. Дата публикации: 2018-12-11.

Semiconductor device handling and sorting apparatus for a semiconductor burn-in test process

Номер патента: US6239396B1. Автор: Ju-il Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-05-29.

System and method for voltage regulator self-burn-in test

Номер патента: EP3410133B1. Автор: Yun-Teng Shih,Kuang-Hua Ou Yang,Kuo-Chan Hsu. Владелец: QUANTA COMPUTER INC. Дата публикации: 2019-06-12.

System, method and computer readable storage medium for voltage regulator burn-in testing

Номер патента: CN108984351B. Автор: 施云腾,欧阳光华生,许国展. Владелец: QUANTA COMPUTER INC. Дата публикации: 2021-09-07.

Apparatus and method for individual current setting for burn-in test

Номер патента: KR20090055179A. Автор: 유호상. Владелец: 주식회사디아이. Дата публикации: 2009-06-02.

Semiconductor device structures for burn-in testing and methods thereof

Номер патента: US20190170811A1. Автор: Mark E. Tuttle. Владелец: Micron Technology Inc. Дата публикации: 2019-06-06.

Method for manufacturing probes of a probe card

Номер патента: US20040035706A1. Автор: Yeong-Her Wang,An-Hong Liu,Yuan-Ping Tseng,Y. Lee,S. Cheng. Владелец: ChipMOS Technologies Bermuda Ltd. Дата публикации: 2004-02-26.

Probe card device and circuit protection assembly thereof

Номер патента: US20230360874A1. Автор: Chih-Feng Cheng,Chih-Chieh LIAO,Yu-Min Sun. Владелец: Global Unichip Corp. Дата публикации: 2023-11-09.

Probe card testing device

Номер патента: US11808787B2. Автор: Ra-Min Tain,Tzyy-Jang Tseng,John Hon-Shing Lau,Kuo Ching Tien. Владелец: Unimicron Technology Corp. Дата публикации: 2023-11-07.

Magnetic field probe and probe head thereof

Номер патента: US20170269170A1. Автор: Yien-Tien CHOU,Hsin-Chia Lu. Владелец: National Taiwan University NTU. Дата публикации: 2017-09-21.

Magnetic field probe and probe head thereof

Номер патента: US20140253112A1. Автор: Yien-Tien CHOU,Hsin-Chia Lu. Владелец: National Taiwan University NTU. Дата публикации: 2014-09-11.

NMR measuring device having a cooled probe head

Номер патента: US5889456A. Автор: René Triebe,Daniel Marek. Владелец: Spectrospin AG. Дата публикации: 1999-03-30.

Nmr probe head with piezoelectric actuators

Номер патента: EP3714282A1. Автор: Marco Osterwalder,Samuel SALVISBERG. Владелец: QONETEC AG. Дата публикации: 2020-09-30.

Transceiver coil arrangement for an mas nmr probe head and method for designing a transceiver coil arrangement

Номер патента: US20240004005A1. Автор: Nicolas Freytag. Владелец: Bruker Switzerland AG. Дата публикации: 2024-01-04.

Probe head for nuclear magnetic resonance measurements

Номер патента: US20050168223A1. Автор: Heinz Zeiger,Baudouin Dillmann. Владелец: Bruker BioSpin GmbH. Дата публикации: 2005-08-04.

Microwave coupler for optimizing a NMR probe head for MAS-DNP

Номер патента: US20160195593A1. Автор: Alexander Krahn,Armin Purea,Frank Engelke. Владелец: Bruker BioSpin GmbH. Дата публикации: 2016-07-07.

Cooled NMR probe head with thermal insulation of the sample

Номер патента: US20010013779A1. Автор: Daniel Marek. Владелец: Bruker AG. Дата публикации: 2001-08-16.

Nmr-mas probe head with pivotable stator

Номер патента: US20190242958A1. Автор: Alexander Krahn,Markus Mayer,Roger Meister,David Osen,Armin Purea. Владелец: Bruker BioSpin GmbH. Дата публикации: 2019-08-08.

Method for producing a probe card

Номер патента: US20240110948A1. Автор: Kun-Hsien LIN,Edgar Hepp,Wabe Koelmans,Patrik SCHUERCH. Владелец: Exaddon AG. Дата публикации: 2024-04-04.

Probe Card System Having A Dielectric Fluid Dispenser

Номер патента: US20190064216A1. Автор: Vladimir V. Genkin,Alexander N. Pronin,Joseph A. Peters. Владелец: Keithley Instruments LLC. Дата публикации: 2019-02-28.

Assembly structure for making integrated circuit chip probe cards

Номер патента: US6204674B1. Автор: January Kister,Krzysztof Dabrowiecki. Владелец: Probe Technology Corp. Дата публикации: 2001-03-20.

Manufacturing method of a multi-layer for a probe card

Номер патента: US12019111B2. Автор: Roberto Crippa,Raffaele VALLAURI,Flavio Maggioni. Владелец: Technoprobe SpA. Дата публикации: 2024-06-25.

Probe card needle cleaning frequency optimization

Номер патента: WO2004070405A8. Автор: Beng Ghee Tan. Владелец: Systems On Silicon Mfg Co Pte. Дата публикации: 2005-10-13.

Method and system for designing a probe card

Номер патента: US7930219B2. Автор: Benjamin N. Eldridge,Stefan Graef,Mark W. Brandemuehl,Yves Parent. Владелец: Formfactor Inc. Дата публикации: 2011-04-19.

Method and system for designing a probe card

Номер патента: WO2003025601A1. Автор: Benjamin N. Eldridge,Stefan Graef,Mark W. Brandemuehl,Yves Parent. Владелец: FORMFACTOR, INC.. Дата публикации: 2003-03-27.

Getter structure for wafer level vacuum packaged device

Номер патента: WO2014099123A1. Автор: Adam M. Kennedy,Stephen H. Black,Thomas Allan KOCIAN,Roland Gooch,Buu Diep. Владелец: Raytheon Company. Дата публикации: 2014-06-26.

Method for preparing sample for wafer level failure analysis

Номер патента: US20220050035A1. Автор: Wen-Lon Gu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-02-17.

METHODOLOGY AND SYSTEM FOR WAFER-LEVEL TESTING OF MEMS PRESSURE SENSORS

Номер патента: US20160107887A1. Автор: Jones Peter T.,MCWHORTER WILLIAM D.,Sessego Raimondo P.,DEBEURRE BRUNO J.. Владелец: . Дата публикации: 2016-04-21.

Coupled spot-size-converter arrays for wafer-level optical metrology

Номер патента: US20150121685A1. Автор: Thomas William Clinton,Juraj Topolancik. Владелец: HGST NETHERLANDS BV. Дата публикации: 2015-05-07.

METHOD FOR ALIGNMENT, PROCESS TOOL AND METHOD FOR WAFER-LEVEL ALIGNMENT

Номер патента: US20200227298A1. Автор: Lin Yeong-Jyh,Tu Yeur-Luen,Liu Ping-Yin,Wang Ching-Hung. Владелец: . Дата публикации: 2020-07-16.

SURFACE GRATINGS, PHOTONICS CIRCUIT, AND METHOD FOR WAFER-LEVEL TESTING THEREOF

Номер патента: US20190310418A1. Автор: Kato Masaki,KARIMELAHI Samira. Владелец: . Дата публикации: 2019-10-10.

Use of a saw frame with tape as a substrate carrier for wafer level backend processing

Номер патента: CA2129212A1. Автор: Michael A. Mignardi. Владелец: Michael A. Mignardi. Дата публикации: 1995-02-03.

Method and apparatus for wafer level testing of integrated optical waveguide circuits

Номер патента: US20030123804A1. Автор: Mark McCormack,Dmitri Nikonov. Владелец: Intel Corp. Дата публикации: 2003-07-03.

Method and apparatus for wafer-level testing of semiconductor laser

Номер патента: US6775000B2. Автор: James Harrison,David Leslie Heald. Владелец: NOVALUX ACQUISITION CORP. Дата публикации: 2004-08-10.

Methodology and system for wafer-level testing of MEMS pressure sensors

Номер патента: US9527731B2. Автор: Peter T. Jones,Raimondo P. Sessego,Bruno J. Debeurre,William D. McWhorter. Владелец: NXP USA Inc. Дата публикации: 2016-12-27.

Apparatus for wafer level testing of semicondcutor device

Номер патента: WO2023132785A1. Автор: Lee Chee Wei. Владелец: Compoundtek Pte. Ltd.. Дата публикации: 2023-07-13.

Coupled spot-size-converter arrays for wafer-level optical metrology

Номер патента: US20150121685A1. Автор: Thomas William Clinton,Juraj Topolancik. Владелец: HGST NETHERLANDS BV. Дата публикации: 2015-05-07.

Wafer burn-in test and wafer test circuit

Номер патента: US20030210589A1. Автор: Ha Min Sung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-11-13.

Disc player and burn-in test method for disc player

Номер патента: US20140169148A1. Автор: Ya-Guo Wang,Chun-Ching Chen. Владелец: Hongfujin Precision Industry Shenzhen Co Ltd. Дата публикации: 2014-06-19.

Semiconductor memory device having wafer burn-in test mode

Номер патента: US20090116322A1. Автор: Hi-Hyun Han,Jee-Yul Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-05-07.

Burn-in test apparatus

Номер патента: US20080291761A1. Автор: Sang Kwon Lee,Bong Seok Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-11-27.

Burn-in test apparatus

Номер патента: US8041531B2. Автор: Sang Kwon Lee,Bong Seok Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-10-18.

Wafer level burn-in for memory integrated circuits

Номер патента: TW200401305A. Автор: Shi-Huei Liu,Bor-Doou Rong,Jeng-Tzong Shih. Владелец: Etron Technology Inc. Дата публикации: 2004-01-16.

Burn-in test circuit for semiconductor memory device

Номер патента: US5452253A. Автор: Young-Keun Choi. Владелец: Goldstar Electron Co Ltd. Дата публикации: 1995-09-19.

Burn-in circuit and burn-in test method

Номер патента: US5467356A. Автор: Yun-Ho Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1995-11-14.

Wafer burn-in test circuit and a method thereof

Номер патента: US5790465A. Автор: Soo-In Cho,Jae-Gu Roh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-08-04.

Wafer level burn-in for memory integrated circuit

Номер патента: US6661719B1. Автор: Shi-Huei Liu,Bor-Doou Rong,Jeng-Tzong Shih. Владелец: EXRONTECHNOLOGY Inc. Дата публикации: 2003-12-09.

Semiconductor memory device having burn-in test function

Номер патента: US5119337A. Автор: Mitsuru Shimizu,Shozo Saito,Syuso Fujii. Владелец: Toshiba Corp. Дата публикации: 1992-06-02.

System and method for cooling a semiconductor light source bar during burn-in testing

Номер патента: US8503493B1. Автор: Ryuji Fujii,Quan Bao Wang,Chun Fei Cheung. Владелец: SAE Magnetics HK Ltd. Дата публикации: 2013-08-06.

Semiconductor memory devices including burn-in test circuits

Номер патента: US20100246300A1. Автор: Jong-Hyun Choi,Sang-Seok Kang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-09-30.

Probe head

Номер патента: US20070051005A1. Автор: Reinhold Schopf. Владелец: Dr Johannes Heidenhain GmbH. Дата публикации: 2007-03-08.

Probe Head

Номер патента: US20130027026A1. Автор: Reinhold Schopf. Владелец: Dr Johannes Heidenhain GmbH. Дата публикации: 2013-01-31.

Probe head for a coordinate measuring instrument

Номер патента: US4833792A. Автор: Peter Aehnelt,Eckhard Enderle. Владелец: CARL ZEISS AG. Дата публикации: 1989-05-30.

Probe head of three-dimensional coordinate measuring device and touch detection method

Номер патента: US10197375B2. Автор: Hisayoshi Sakai. Владелец: Mitutoyo Corp. Дата публикации: 2019-02-05.

Optical probe heads

Номер патента: US5440126A. Автор: Evelyn E. K. Kemsley. Владелец: British Technology Group Ltd. Дата публикации: 1995-08-08.

Ultrasonic probe head

Номер патента: US7992439B2. Автор: Aart Jan Van Bekkum,Jeoren Martin Van Klooster. Владелец: KROHNE AG. Дата публикации: 2011-08-09.

Method and apparatus for wafer level testing of semiconductor using sacrificial on die power and ground metalization

Номер патента: US20030042483A1. Автор: Kevin Devereaux. Владелец: Individual. Дата публикации: 2003-03-06.

Method and apparatus for wafer level testing of semiconductor using sacrificial on die power and ground metalization

Номер патента: US20030042600A1. Автор: Kevin Devereaux. Владелец: Individual. Дата публикации: 2003-03-06.

System for wafer-level phosphor deposition

Номер патента: WO2012078530A9. Автор: Tao Xu. Владелец: Bridgelux, Inc.. Дата публикации: 2012-11-22.

Encapsulation process method for wafer-level light-emitting diode dies

Номер патента: US20240304749A1. Автор: Ai-Sen Liu,Hsiang-An Feng,Yi-Chuan Huang,Hsiao-Lu Chen. Владелец: Ingentec Corp. Дата публикации: 2024-09-12.

Methods relating to the reconstruction of semiconductor wafers for wafer-level processing

Номер патента: US20060240582A1. Автор: Yong Tan,Wuu Tay. Владелец: Individual. Дата публикации: 2006-10-26.

Thermal chuck and method for wafer level burn-in and testing

Номер патента: JP2002526936A. Автор: ジョン ダブリュー アンドバーグ. Владелец: エイアー テスト システムズ. Дата публикации: 2002-08-20.

Interposer and chip-scale packaging for wafer-level camera

Номер патента: US10734437B2. Автор: Yi Qin,Chia-Yang Chang,Teng-Sheng Chen. Владелец: Omnivision Technologies Inc. Дата публикации: 2020-08-04.

Interposer And Chip-Scale Packaging For Wafer-Level Camera

Номер патента: US20170294477A1. Автор: Yi Qin,Chia-Yang Chang,Teng-Sheng Chen. Владелец: Omnivision Technologies Inc. Дата публикации: 2017-10-12.

Interposer And Chip-Scale Packaging For Wafer-Level Camera

Номер патента: US20190181179A1. Автор: Yi Qin,Chia-Yang Chang,Teng-Sheng Chen. Владелец: Omnivision Technologies Inc. Дата публикации: 2019-06-13.

System for Wafer-Level Phosphor Deposition

Номер патента: US20140374758A1. Автор: Tao Xu. Владелец: Bridgelux Inc. Дата публикации: 2014-12-25.

Method and structure for wafer-level packaging

Номер патента: US9437511B2. Автор: Jiangen Shi. Владелец: Nantong Fujitsu Microelectronics Co Ltd. Дата публикации: 2016-09-06.

Method and structure for wafer-level packaging

Номер патента: US20160172263A1. Автор: Jiangen Shi. Владелец: Nantong Fujitsu Microelectronics Co Ltd. Дата публикации: 2016-06-16.

Systems and methods for wafer-level manufacturing of devices having land grid array interfaces

Номер патента: US20190304938A1. Автор: Rameen Hadizadeh. Владелец: Wispry Inc. Дата публикации: 2019-10-03.

Semiconductor structure for wafer level bonding and bonded semiconductor structure

Номер патента: US11929335B2. Автор: Chien-Ming Lai. Владелец: United Microelectronics Corp. Дата публикации: 2024-03-12.

Method and structure for wafer-level packaging

Номер патента: US20160172321A1. Автор: Guohua Gao. Владелец: Nantong Fujitsu Microelectronics Co Ltd. Дата публикации: 2016-06-16.

Fabricating method for wafer-level packaging

Номер патента: US20170213810A1. Автор: Wanchun Ding. Владелец: Tongfu Microelectronics Co Ltd. Дата публикации: 2017-07-27.

Semiconductor structure for wafer level bonding and bonded semiconductor structure

Номер патента: US20240178171A1. Автор: Chien-Ming Lai. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-30.

Wafer level burn-in and test thermal chuck and method

Номер патента: US6140616A. Автор: John W. Andberg. Владелец: Aehr Test Systems Inc. Дата публикации: 2000-10-31.

Non-contact wafer-level burn-in comprising a wireless power transfer component

Номер патента: US11721949B1. Автор: Chien-Yao Lu. Владелец: Lumentum Operations LLC. Дата публикации: 2023-08-08.

Black curable composition for wafer-level lens, and wafer-level lens

Номер патента: EP2526447A1. Автор: Yoshiharu Yabuki,Yushi Kaneko,Masaru Yoshikawa. Владелец: Fujifilm Corp. Дата публикации: 2012-11-28.

Photopatternable Silicones For Wafer Level Z-Axis Thermal Interposer

Номер патента: US20170200667A1. Автор: Craig R. YEAKLE,Herman Meynen,Ranjith Samuel JOHN. Владелец: Dow Corning Corp. Дата публикации: 2017-07-13.

Black curable composition for wafer-level lens, and wafer-level lens

Номер патента: TW201131297A. Автор: Yoshiharu Yabuki,Yushi Kaneko,Masaru Yoshikawa. Владелец: Fujifilm Corp. Дата публикации: 2011-09-16.

Photopatternable silicones for wafer level z-axis thermal interposer

Номер патента: EP3158582A1. Автор: Craig Yeakle,Herman Meynen,Ranjith Samuel JOHN. Владелец: Dow Corning Corp. Дата публикации: 2017-04-26.

Lens Plate For Wafer-Level Camera And Method Of Manufacturing Same

Номер патента: US20130271826A1. Автор: Leah Widmer. Владелец: Omnivision Technologies Inc. Дата публикации: 2013-10-17.

Black curable composition for wafer - level lens, and wafer - level lens

Номер патента: US20120262793A1. Автор: Yoshiharu Yabuki,Yushi Kaneko,Masaru Yoshikawa. Владелец: Fujifilm Corp. Дата публикации: 2012-10-18.

Black curable composition for wafer-level lens, and wafer-level lens

Номер патента: CN102667531B. Автор: 吉川将,矢吹嘉治,金子祐士. Владелец: Fujifilm Corp. Дата публикации: 2015-03-04.

Spacer Wafer For Wafer-Level Camera And Method For Manufacturing Same

Номер патента: US20170168270A1. Автор: Barnes George,Rauker Goran. Владелец: . Дата публикации: 2017-06-15.

Molded Glass Lid For Wafer Level Packaging Of Opto-Electronic Assemblies

Номер патента: US20130101250A1. Автор: Desai Kishor,Shastri Kalpendu,Patel Vipulkumar,Pathak Soham,Dama Bipin,Kachru Ravinder. Владелец: . Дата публикации: 2013-04-25.

Lens Plate For Wafer-Level Camera And Method Of Manufacturing Same

Номер патента: US20130271826A1. Автор: Leah Widmer. Владелец: Omnivision Technologies Inc. Дата публикации: 2013-10-17.

Lens Plate For Wafer-Level Camera And Method Of Manufacturing Same

Номер патента: US20150014875A1. Автор: Widmer Leah. Владелец: . Дата публикации: 2015-01-15.

Systems and Methods for Wafer-Level Photonic Testing

Номер патента: US20210124107A1. Автор: Meade Roy Edward,Wright Alexandra,Sedgwick Forrest,KHILO Anatol. Владелец: . Дата публикации: 2021-04-29.

SILICON PHOTONICS COLLIMATOR FOR WAFER LEVEL ASSEMBLY

Номер патента: US20220283352A1. Автор: Badihi Avner,Lysdal Henning. Владелец: . Дата публикации: 2022-09-08.

Photopatternable Silicones For Wafer Level Z-Axis Thermal Interposer

Номер патента: US20170200667A1. Автор: Yeakle Craig R.,JOHN RANJITH SAMUEL,MEYNEN HERMAN. Владелец: Dow Corning Corporation. Дата публикации: 2017-07-13.

Lense module and manufacturing apparatus for wafer level lense module

Номер патента: KR101155988B1. Автор: 어지호. Владелец: 삼성전자주식회사. Дата публикации: 2012-07-03.

Method and apparatus for wafer-level measurement of volume holographic gratings

Номер патента: US7359046B1. Автор: Gregory J. Steckman,Frank Havermeyer,Lawrence Pokwah Ho. Владелец: Ondax Inc. Дата публикации: 2008-04-15.

Reinforcement structure for wafer-level camera module

Номер патента: CN102298247A. Автор: 林蔚峰,何文仁,李基魁,蔡陈纬. Владелец: Omnivision Technologies Inc. Дата публикации: 2011-12-28.

High-density power supply device for wafer-level processor

Номер патента: CN114980504B. Автор: 张坤,李顺斌,邓庆文,胡守雷. Владелец: Zhejiang Lab. Дата публикации: 2022-11-08.

Systems and methods for wafer-level testing of transmitter-receiver links

Номер патента: US11463173B1. Автор: Dawei Zheng,Tongqing Wang,Xingyu Zhang,Zhoufeng Ying. Владелец: Alpine Optoelectronics Inc. Дата публикации: 2022-10-04.

Lens plate for wafer-level camera and method of manufacturing same

Номер патента: AU2013202052A1. Автор: Leah Widmer. Владелец: Omnivision Technologies Inc. Дата публикации: 2013-10-31.

Test circuit for a semiconductor memory device and method for burn-in test

Номер патента: US6055199A. Автор: Takashi Kono,Mikio Asakura,Kiyohiro Furutani,Kei Hamade. Владелец: Mitsubishi Electric Corp. Дата публикации: 2000-04-25.

Burn-in test input circuit of a semiconductor memory device and burn-in test method therefor

Номер патента: DE69326654D1. Автор: Yun-Ho Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-11-11.

Semiconductor memory device having improved wafer burn-in test scheme

Номер патента: TW419587B. Автор: Jong-Ryeul Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-01-21.

DISC PLAYER AND BURN-IN TEST METHOD FOR DISC PLAYER

Номер патента: US20140169148A1. Автор: WANG YA-GUO,CHEN CHUN-CHING. Владелец: . Дата публикации: 2014-06-19.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF WAFER BURN-IN TEST FOR THE SAME

Номер патента: US20150155054A1. Автор: LEE Hyun-Sung,PARK Kee-Teok. Владелец: SK HYNIX INC.. Дата публикации: 2015-06-04.

Semiconductor memory device with an easy package level burn-in test

Номер патента: KR0182162B1. Автор: 윤순병. Владелец: 김광호. Дата публикации: 1999-04-15.

반도체 메모리의 번 인 테스트(Burn-In Test) 장치

Номер патента: KR940008674U. Автор: 최영근. Владелец: 금성일렉트론 주식회사. Дата публикации: 1994-04-21.

Burn-in test circuit

Номер патента: KR100302617B1. Автор: 전준현. Владелец: 현대반도체 주식회사. Дата публикации: 2001-11-01.

Burn-in test method

Номер патента: KR100464946B1. Автор: 이승현,정호돈. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-01-05.

Semiconductor memory burn-in test circuit

Номер патента: JP3397850B2. Автор: チョイ ヨング−ケウン. Владелец: エルジイ・セミコン・カンパニイ・リミテッド. Дата публикации: 2003-04-21.

Driver circuit of burn-in test mode

Номер патента: KR0164802B1. Автор: 정민철,박희철. Владелец: 김광호. Дата публикации: 1999-02-01.

Burn-in test apparatus of semiconductor memory

Номер патента: KR960005387Y1. Автор: 최영근. Владелец: 금성일렉트론 주식회사. Дата публикации: 1996-06-28.

Semiconductor memory device with burn-in test function

Номер патента: KR100390146B1. Автор: 유제환. Владелец: 삼성전자주식회사. Дата публикации: 2003-07-04.

Semiconductor memory device capable of writing different data in cells connected to one word line at burn-in test

Номер патента: KR100771853B1. Автор: 신동학. Владелец: 삼성전자주식회사. Дата публикации: 2007-11-01.

Burn-in test circuit and method for semiconductor memory device

Номер патента: JP3803145B2. Автор: 再九 盧,秀仁 趙. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-08-02.

Burn-in enable circuit and burn-in test method of semiconductor memory device

Номер патента: KR950003014B1. Автор: 최윤호. Владелец: 김광호. Дата публикации: 1995-03-29.

Method for setting up bit error rate criterion and method for burn-in test of hard disk drive

Номер патента: KR100833198B1. Автор: 이상협,이해중. Владелец: 삼성전자주식회사. Дата публикации: 2008-05-28.

Wafer Burn-in Test Circuit of Semiconductor Memory Device

Номер патента: KR970076892A. Автор: 노재구. Владелец: 김광호. Дата публикации: 1997-12-12.

Memory device having open bit line cell structure using burn-in testing scheme and method therefor

Номер патента: US7245542B2. Автор: Ki-Won Park,Byung-sik Moon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-07-17.

Speedy burn-in test system of semiconductor memory device

Номер патента: KR102141800B1. Автор: 유호상,옥두환. Владелец: 주식회사 엑시콘. Дата публикации: 2020-08-06.

The wafer burn-in test method of biasing the stress voltage on bit line

Номер патента: KR0157292B1. Автор: 전준영,정우표. Владелец: 김광호. Дата публикации: 1999-02-18.

Semiconductor memory device having burn-in test mode

Номер патента: KR100900786B1. Автор: 허황. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-06-02.

Semiconductor memory device and burn-in test method thereof

Номер патента: KR100827444B1. Автор: 손상기,임종형,김대선. Владелец: 삼성전자주식회사. Дата публикации: 2008-05-06.

Semiconductor memory with built-in burn-in test

Номер патента: DE69419951D1. Автор: Takashi Ohsawa. Владелец: Toshiba Corp. Дата публикации: 1999-09-16.

Apparatus and method for determining burn-in test progress status of hard disk drive

Номер патента: KR100505586B1. Автор: 조진원. Владелец: 삼성전자주식회사. Дата публикации: 2005-09-30.

Semiconductor memory device facilitates package-level burn-in testing

Номер патента: KR980005040A. Автор: 윤순병. Владелец: 김광호. Дата публикации: 1998-03-30.

Wafer burn-in test method

Номер патента: KR100224776B1. Автор: 조주환. Владелец: 현대전자산업주식회사. Дата публикации: 1999-10-15.

Semiconductor memory circuit having normal operation mode and burn-in test mode

Номер патента: US6735133B1. Автор: Yasuhiko Tsukikawa. Владелец: Renesas Technology Corp. Дата публикации: 2004-05-11.

Wafer burn-in test method applying stress voltage to bit line

Номер патента: KR970022353A. Автор: 전준영,정우표. Владелец: 김광호. Дата публикации: 1997-05-28.

Microcomputer and burn-in test method thereof

Номер патента: JP3198997B2. Автор: 祐介 時枝. Владелец: NEC Corp. Дата публикации: 2001-08-13.

Semiconductor integrated circuit device having burn-in test capability and method for using the same

Номер патента: US5909142A. Автор: Junji Ogawa,Kenichi Kawasaki. Владелец: Fujitsu Ltd. Дата публикации: 1999-06-01.

Burn-in test circuit

Номер патента: JP4564148B2. Автор: チュン ジュン−ヒュン. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-10-20.

A semiconductor memory device having a burn-in test function

Номер патента: KR19990014168A. Автор: 히로아끼 하시모또. Владелец: 닛뽕덴끼 가부시끼가이샤. Дата публикации: 1999-02-25.

Method for performing a burn-in test

Номер патента: US7463548B2. Автор: Alan D. Norris,Samuel Weinstein,Stephan Wuensche. Владелец: International Business Machines Corp. Дата публикации: 2008-12-09.

Semiconductor memory device with circuit executing burn-in testing

Номер патента: US6704231B1. Автор: Fukashi Morishita,Mitsuya Kinoshita. Владелец: Renesas Technology Corp. Дата публикации: 2004-03-09.

Wafer burn in test control citcuit

Номер патента: KR100904465B1. Автор: 한희현,김지열. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-06-24.

Semiconductor memory with built-in burn-in test

Номер патента: EP0615253A2. Автор: Takashi C/O Intellectual Property Div. Ohsawa. Владелец: Toshiba Corp. Дата публикации: 1994-09-14.

Wafer burn-in test circuit

Номер патента: KR100845810B1. Автор: 안선모,김육희. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2008-07-14.

Burn-in test method for yield improvement in hard disk drive

Номер патента: KR980004779A. Автор: 조진원. Владелец: 김광호. Дата публикации: 1998-03-30.

Semiconductor memory device having wafer burn-in test mode

Номер патента: US7660174B2. Автор: Hi-Hyun Han,Jee-Yul Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-02-09.

Semiconductor memory device having function of burn-in test thereof

Номер патента: KR100494437B1. Автор: 김남종,이규찬. Владелец: 삼성전자주식회사. Дата публикации: 2005-06-13.

Semiconductor memory device with improved wafer burn-in test scheme

Номер патента: KR100281900B1. Автор: 김종열. Владелец: 윤종용. Дата публикации: 2001-02-15.

TFT-LCD source driver implementing self burn-in test

Номер патента: KR100525000B1. Автор: 전용원,김경월. Владелец: 삼성전자주식회사. Дата публикации: 2005-10-31.

Probe Head-Cover Applicator and Method Thereof

Номер патента: US20230070576A1. Автор: Glade H. Howell. Владелец: Bard Access Systems Inc. Дата публикации: 2023-03-09.

Probe head-cover applicator and method thereof

Номер патента: US11759170B2. Автор: Glade H. Howell. Владелец: Bard Access Systems Inc. Дата публикации: 2023-09-19.

Method for wafer-level semiconductor die attachment

Номер патента: WO2019073304A1. Автор: Yee Loy Lam. Владелец: Denselight Semiconductors Pte. Ltd.. Дата публикации: 2019-04-18.

Enhanced board level reliability for wafer level packages

Номер патента: US20150255413A1. Автор: Arkadii V. Samoilov,Peter R. Harper,Martin Mason. Владелец: Maxim Integrated Products Inc. Дата публикации: 2015-09-10.

Probe Head-Cover Applicator and Method Thereof

Номер патента: US20210059639A1. Автор: Glade H. Howell. Владелец: Bard Access Systems Inc. Дата публикации: 2021-03-04.

Environmental protection for wafer level and package level applications

Номер патента: US10832984B2. Автор: Christo Bojkov,Robert Charles DRY,Andrew Ketterson. Владелец: Qorvo US Inc. Дата публикации: 2020-11-10.

COLOR CORRECTION FOR WAFER LEVEL WHITE LEDs

Номер патента: US20110121344A1. Автор: Matthew Donofrio. Владелец: Cree Inc. Дата публикации: 2011-05-26.

Method and System for Wafer-Level Planarization of a Die-to-Wafer System

Номер патента: US20110201209A1. Автор: Gregory Smith,Larry Smith,Sharath Hosali. Владелец: Sematech Inc. Дата публикации: 2011-08-18.

Method and structure for wafer level packaging with large contact area

Номер патента: US20160064251A1. Автор: Yan Xun Xue. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2016-03-03.

Die preparation for wafer-level chip scale package (WLCSP)

Номер патента: US8895363B2. Автор: Guido Albermann,Sascha Moeller,Hartmut BUENNING,Thomas Rohleder,Michael Zernack. Владелец: NXP BV. Дата публикации: 2014-11-25.

Housing for wafer-level camera module

Номер патента: US20140078387A1. Автор: Wei Yuan,Ye Tao,BO Jiang. Владелец: Omnivision Technologies Shanghai Co Ltd. Дата публикации: 2014-03-20.

Die Preparation for Wafer-Level Chip Scale Package (WLCSP)

Номер патента: US20140264768A1. Автор: Guido Albermann,Sascha Moeller,Hartmut BUENNING,Thomas Rohleder,Michael Zernack. Владелец: NXP BV. Дата публикации: 2014-09-18.

Wafer edge deposition for wafer level packaging

Номер патента: US20230317445A1. Автор: Jack Chen,Xuefeng Hua,Ian Scot Latchford,Chia-Shin Lin,Chanthavisa Keovisai. Владелец: Lam Research Corp. Дата публикации: 2023-10-05.

Color correction for wafer level white leds

Номер патента: EP2377170A2. Автор: Matthew Donofrio. Владелец: Cree Inc. Дата публикации: 2011-10-19.

Methods and Apparatus of Guard Rings for Wafer-Level-Packaging

Номер патента: US20130241049A1. Автор: Hsien-Wei Chen,Tsung-Yuan Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-09-19.

Inductor design on floating ubm balls for wafer level package (wlp)

Номер патента: EP3105788A1. Автор: Aristotele Hadjichristos,Young Kyu Song,Xiaonan Zhang,Yunseo Park,Ryan David Lane. Владелец: Qualcomm Inc. Дата публикации: 2016-12-21.

Environmental protection for wafer level and package level applications

Номер патента: US20180122716A1. Автор: Christo Bojkov,Robert Charles DRY,Andrew Ketterson. Владелец: Qorvo US Inc. Дата публикации: 2018-05-03.

Method of fabricating carrier for wafer level package by using lead frame

Номер патента: US20210098268A1. Автор: Sung Il Kang,In Seob BAE,Dong Young PYEON,Jong Hoe KU. Владелец: Haesung DS Co Ltd. Дата публикации: 2021-04-01.

Environmental protection for wafer level and package level applications

Номер патента: US20200152533A1. Автор: Christo Bojkov,Robert Charles DRY,Andrew Ketterson. Владелец: Qorvo US Inc. Дата публикации: 2020-05-14.

Dicing method for wafer-level packaging and semiconductor chip with dicing structure adapted for wafer-level packaging

Номер патента: US20170200647A1. Автор: Bernhard Stering. Владелец: ams AG. Дата публикации: 2017-07-13.

Dicing method for wafer-level packaging and semiconductor chip with dicing structure adapted for wafer-level packaging

Номер патента: EP2950338A1. Автор: Bernhard Stering. Владелец: ams AG. Дата публикации: 2015-12-02.

Covers for microelectronic imagers and methods for wafer-level packaging of microelectronics imagers

Номер патента: US20050253213A1. Автор: Tongbi Jiang,J. Brooks. Владелец: Individual. Дата публикации: 2005-11-17.

UBM Structures for Wafer Level Chip Scale Packaging

Номер патента: US20140170850A1. Автор: Hsien-Wei Chen,Shih-Wei Liang,Ying-Ju Chen,Tsung-Yuan Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-06-19.

Manufacturing tool for wafer level package and method of placing dice

Номер патента: SG129292A1. Автор: Wen-Kun Yang,Wen-Pin Yang,Shih-Li Chen. Владелец: Advanced Chip Eng Tech Inc. Дата публикации: 2007-02-26.

System for wafer-level phosphor deposition

Номер патента: TW201250792A. Автор: Tao Xu. Владелец: Bridgelux Inc. Дата публикации: 2012-12-16.

Method and system for wafer level singulation

Номер патента: US9502294B2. Автор: Seshadri Ramaswami,Michael R. Rice,Klaus Schuegraf,Mohsen S. Salek,Claes H. Bjorkman. Владелец: Applied Materials Inc. Дата публикации: 2016-11-22.

Methods relating to the reconstruction of semiconductor wafers for wafer-level processing

Номер патента: US7071012B2. Автор: Wuu Yean Tay,Yong Kian Tan. Владелец: Micron Technology Inc. Дата публикации: 2006-07-04.

Various structure/height bumps for wafer level-chip scale package

Номер патента: TW200525670A. Автор: Ng Han-Shen Ch,Eng Han Matthew Lim. Владелец: ADVANPACK SOLUTIONS PTE LTD. Дата публикации: 2005-08-01.

Various structure/height bumps for wafer level-chip scale package

Номер патента: EP1704594A1. Автор: Han Shen Ch'ng,Eng Han Matthew Lim. Владелец: ADVANPACK SOLUTIONS PTE LTD. Дата публикации: 2006-09-27.

A patterned pad to create a virtual solder mask for wafer-level chip-scale packages

Номер патента: TW201614746A. Автор: Bora Baloglu,Christopher J Berry,Glenn Rinne. Владелец: Amkor Technology Inc. Дата публикации: 2016-04-16.

Bomping process for wafer level package

Номер патента: TW200419759A. Автор: Chian-Chi Lin,Chih-Huang Chang,Hsin-Fu Chuang. Владелец: Advanced Semiconductor Eng. Дата публикации: 2004-10-01.

Process for wafer level chip scale package

Номер патента: TW501245B. Автор: Yan-Ming Chen,Kai-Ming Ching,Jau-Yuan Su,Jia-Fu Lin,Shin-Huei Li. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2002-09-01.

Bumping process for wafer level package

Номер патента: TWI225698B. Автор: Chian-Chi Lin,Chih-Huang Chang,Hsin-Fu Chuang. Владелец: Advanced Semiconductor Eng. Дата публикации: 2004-12-21.

Method and apparatus for wafer-level solder hermetic seal encapsulation of mems devices

Номер патента: EP2780278A1. Автор: John H. Hong,Chong U. Lee,Tallis Y. Chang,Yaoling Pan. Владелец: Qualcomm Inc. Дата публикации: 2014-09-24.

Sheet molding process for wafer level packaging

Номер патента: WO2018004897A1. Автор: YenHao Benjamin CHEN. Владелец: Intel Corporation. Дата публикации: 2018-01-04.

Sacrificial Separators for Wafer Level Encapsulating

Номер патента: US20200111683A1. Автор: John F. Kaeding. Владелец: Micron Technology Inc. Дата публикации: 2020-04-09.

Ultrasound transducer and method for wafer level back face attachment

Номер патента: US20180175278A1. Автор: Flavien Daloz,Jason Barrett,Edouard Da Cruz,Jean Pierre Malacrida. Владелец: General Electric Co. Дата публикации: 2018-06-21.

Method for wafer level chip scale package

Номер патента: TW543126B. Автор: Chu-Wei Hu,Yu-Lung Yeh,Chu-Sheng Lee,Sheng-Hung Chou. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2003-07-21.

HYBRID GLASS CORE FOR WAFER LEVEL AND PANEL LEVEL PACKAGING APPLICATIONS

Номер патента: US20210050289A1. Автор: Duan Gang,PIETAMBARAM Srinivas,KONG Jieying. Владелец: . Дата публикации: 2021-02-18.

ENVIRONMENTAL PROTECTION FOR WAFER LEVEL AND PACKAGE LEVEL APPLICATIONS

Номер патента: US20180122716A1. Автор: Bojkov Christo,Dry Robert Charles,Ketterson Andrew. Владелец: . Дата публикации: 2018-05-03.

ENVIRONMENTAL PROTECTION FOR WAFER LEVEL AND PACKAGE LEVEL APPLICATIONS

Номер патента: US20200152533A1. Автор: Bojkov Christo,Dry Robert Charles,Ketterson Andrew. Владелец: . Дата публикации: 2020-05-14.

ENHANCED BOARD LEVEL RELIABILITY FOR WAFER LEVEL PACKAGES

Номер патента: US20150255413A1. Автор: Samoilov Arkadii V.,Harper Peter R.,Mason Martin. Владелец: . Дата публикации: 2015-09-10.

Method for forming thin wafer stack for wafer level package

Номер патента: KR100618837B1. Автор: 황현,정기권. Владелец: 삼성전자주식회사. Дата публикации: 2006-09-01.

Method of forming a permanent carrier and spacer wafer for wafer level optics and associated structure

Номер патента: US7888758B2. Автор: Rickie C. Lake. Владелец: Aptina Imaging Corp. Дата публикации: 2011-02-15.

Wafer aligning method for wafer-level testing of image sensor

Номер патента: CN103700602A. Автор: 李文强,赵立新,熊望明. Владелец: Galaxycore Shanghai Ltd Corp. Дата публикации: 2014-04-02.

System and Method for Wafer Level Packaging

Номер патента: US20130015467A1. Автор: Gerhard Lohninger,Ulrich Krumbein,Alfons Dehe. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2013-01-17.

Method and system for wafer level singulation

Номер патента: US20130045570A1. Автор: Seshadri Ramaswami,Michael R. Rice,Klaus Schuegraf,Mohsen S. Salek,Claes H. Bjorkman. Владелец: Applied Materials Inc. Дата публикации: 2013-02-21.

UBM Structures for Wafer Level Chip Scale Packaging

Номер патента: US20130140706A1. Автор: Hsien-Wei Chen,Shih-Wei Liang,Ying-Ju Chen,Tsung-Yuan Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-06-06.

Method for Wafer-Level Surface Micromachining to Reduce Stiction

Номер патента: US20130157005A1. Автор: Fang Liu,Kuang Yang. Владелец: Analog Devices Inc. Дата публикации: 2013-06-20.

System for Wafer-Level Phosphor Deposition

Номер патента: US20130273676A1. Автор: Tao Xu. Владелец: Bridgelux Inc. Дата публикации: 2013-10-17.

INTEGRATED GETTER AREA FOR WAFER LEVEL ENCAPSULATED MICROELECTROMECHANICAL SYSTEMS

Номер патента: US20130285162A1. Автор: Partridge Aaron,Lutz Markus. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2013-10-31.

PASSIVATION FOR WAFER LEVEL - CHIP-SCALE PACKAGE DEVICES

Номер патента: US20130292837A1. Автор: Pfennigstorf Olaf,Schnitt Wolfgang. Владелец: . Дата публикации: 2013-11-07.

Plating Structure For Wafer Level Packages

Номер патента: US20140070408A1. Автор: So Kwang Sup,Park No Sun. Владелец: . Дата публикации: 2014-03-13.

HOUSING FOR WAFER-LEVEL CAMERA MODULE

Номер патента: US20140078387A1. Автор: Yuan Wei,Tao Ye,JIANG Bo. Владелец: OmniVision Technologies (Shanghai) Co., Ltd.. Дата публикации: 2014-03-20.

METHOD AND APPARATUS FOR WAFER LEVEL PACKAGING

Номер патента: US20190006223A1. Автор: Gu Yu,SEE Guan Huei,SUNDARRAJAN Arvind. Владелец: . Дата публикации: 2019-01-03.

Self-alignment Structure for Wafer Level Chip Scale Package

Номер патента: US20150014846A1. Автор: Chen Hsien-Wei,LAI YU-CHIA,Shao Tung-Liang,Yang Ching-Jung,Huang Chang-Pin,Tu Hsien-Ming. Владелец: . Дата публикации: 2015-01-15.

METHOD AND STRUCTURE FOR WAFER LEVEL PACKAGING WITH LARGE CONTACT AREA

Номер патента: US20170025356A1. Автор: Xue Yan Xun. Владелец: Alpha and Omega Semiconductor Incorporated. Дата публикации: 2017-01-26.

TECHNIQUE FOR WAFER-LEVEL PROCESSING OF QFN PACKAGES

Номер патента: US20150028475A1. Автор: Khandekar Viren,Thambidurai Karthik,Ashrafzadeh Ahmad,Nguyen Hien D.,Kelkar Amit S.. Владелец: . Дата публикации: 2015-01-29.

INTERCONNECT STRUCTURES FOR WAFER LEVEL PACKAGE AND METHODS OF FORMING SAME

Номер патента: US20160056126A1. Автор: Liu Chung-Shi,Yu Chen-Hua. Владелец: . Дата публикации: 2016-02-25.

METHOD FOR WAFER LEVEL PACKAGING AND A PACKAGE STRUCTURE THEREOF

Номер патента: US20150061121A1. Автор: LIAO TSUNG JEN. Владелец: CHIPMOS TECHNOLOGIES INC. Дата публикации: 2015-03-05.

METHOD AND STRUCTURE FOR WAFER LEVEL PACKAGING WITH LARGE CONTACT AREA

Номер патента: US20160064251A1. Автор: Xue Yan Xun. Владелец: Alpha and Omega Semiconductor Incorporated. Дата публикации: 2016-03-03.

GETTER STRUCTURE FOR WAFER LEVEL VACUUM PACKAGED DEVICE

Номер патента: US20140175590A1. Автор: Diep Buu,Black Stephen H.,Kennedy Adam M.,Gooch Roland,Kocian Thomas Allan. Владелец: Raytheon Company. Дата публикации: 2014-06-26.

Method of fabricating carrier for wafer level package by using lead frame

Номер патента: US20210098268A1. Автор: Sung Il Kang,In Seob BAE,Dong Young PYEON,Jong Hoe KU. Владелец: Haesung DS Co Ltd. Дата публикации: 2021-04-01.

MICROELECTRONIC PACKAGE FOR WAFER-LEVEL CHIP SCALE PACKAGING WITH FAN-OUT

Номер патента: US20190096861A1. Автор: Katkar Rajesh,PRABHU Ashok S.. Владелец: INVENSAS CORPORATION. Дата публикации: 2019-03-28.

Final passivation for wafer level warpage and ulk stress reduction

Номер патента: US20180108626A1. Автор: Krishna R. Tunga,Ekta Misra. Владелец: International Business Machines Corp. Дата публикации: 2018-04-19.

Interconnect Structure for Wafer Level Package

Номер патента: US20160118272A1. Автор: Yu Chen-Hua,Hung Jui-Pin,Lin Jing-Cheng,Jeng Shin-Puu,LIU Nai-Wei. Владелец: . Дата публикации: 2016-04-28.

METHOD AND SYSTEM FOR WAFER LEVEL SINGULATION

Номер патента: US20140196850A1. Автор: SCHUEGRAF Klaus,Ramaswami Seshadri,RICE MICHAEL R.,Salek Mohsen S.,Bjorkman Claes H.. Владелец: Applied Materials, Inc.. Дата публикации: 2014-07-17.

TREATMENT METHOD OF ELECTRODEPOSITED COPPER FOR WAFER-LEVEL-PACKAGING PROCESS FLOW

Номер патента: US20140197037A1. Автор: Porter David,Mayer Steven T.,Buckalew Bryan L.,Ponnuswamy Thomas. Владелец: . Дата публикации: 2014-07-17.

ULTRASOUND TRANSDUCER AND METHOD FOR WAFER LEVEL BACK FACE ATTACHMENT

Номер патента: US20200107814A1. Автор: Daloz Flavien,Da Cruz Edouard,Malacrida Jean Pierre,Barrett Jason. Владелец: . Дата публикации: 2020-04-09.

Microelectronic Package for Wafer-Level Chip Scale Packaging with Fan-Out

Номер патента: US20170117260A1. Автор: Katkar Rajesh,PRABHU Ashok S.. Владелец: INVENSAS CORPORATION. Дата публикации: 2017-04-27.

METHODS AND STRUCTURES FOR WAFER-LEVEL SYSTEM IN PACKAGE

Номер патента: US20190115314A1. Автор: LIU Mengbin. Владелец: . Дата публикации: 2019-04-18.

Sacrificial Separators for Wafer Level Encapsulating

Номер патента: US20200111683A1. Автор: Kaeding John F.. Владелец: . Дата публикации: 2020-04-09.

APPARATUS AND METHOD FOR WAFER LEVEL BONDING

Номер патента: US20170140955A1. Автор: Chao Lan-Lin,Tu Yeur-Luen,Liu Ping-Yin,Huang Xin-Hua,Lee Ru-Liang,Chu Yen-Chang. Владелец: . Дата публикации: 2017-05-18.

Methods and Apparatus of Guard Rings for Wafer-Level-Packaging

Номер патента: US20170141051A1. Автор: Chen Hsien-Wei,YU Tsung-Yuan. Владелец: . Дата публикации: 2017-05-18.

Antenna assembly for wafer level packaging

Номер патента: US20170154859A1. Автор: Jinbang Tang,Weng Foong Yap. Владелец: NXP USA Inc. Дата публикации: 2017-06-01.

Method and structure for wafer level packaging with large contact area

Номер патента: US20150162257A1. Автор: Yan Xun Xue. Владелец: ALPHA AND OMEGA SEMICONDUCTOR INC. Дата публикации: 2015-06-11.

METHOD AND STRUCTURE FOR WAFER-LEVEL PACKAGING

Номер патента: US20160172263A1. Автор: Shi Jiangen. Владелец: . Дата публикации: 2016-06-16.

METHOD AND STRUCTURE FOR WAFER-LEVEL PACKAGING

Номер патента: US20160172321A1. Автор: Gao Guohua. Владелец: . Дата публикации: 2016-06-16.

Methods and Apparatus for Wafer Level Packaging

Номер патента: US20140252558A1. Автор: Lu Wen-Hsiung,Chen Hsien-Wei,YU Tsung-Yuan,Lin Hung-Jen. Владелец: . Дата публикации: 2014-09-11.

Pad defined contact for wafer level package

Номер патента: US20140252592A1. Автор: Tiao Zhou,Ricky Agrawal,Abhishek Choudhury. Владелец: Maxim Integrated Products Inc. Дата публикации: 2014-09-11.

Methods and Apparatus for Wafer Level Packaging

Номер патента: US20150179522A1. Автор: Lu Wen-Hsiung,Chen Hsien-Wei,YU Tsung-Yuan,Lin Hung-Jen. Владелец: . Дата публикации: 2015-06-25.

Die Preparation for Wafer-Level Chip Scale Package (WLCSP)

Номер патента: US20140264768A1. Автор: Albermann Guido,Moeller Sascha,Rohleder Thomas,Buenning Hartmut,Zernack Michael. Владелец: NXP B. V.. Дата публикации: 2014-09-18.

Ultrasound transducer and method for wafer level back face attachment

Номер патента: US20180175278A1. Автор: Flavien Daloz,Jason Barrett,Edouard Da Cruz,Jean Pierre Malacrida. Владелец: General Electric Co. Дата публикации: 2018-06-21.

MULTI-LAYER REDISTRIBUTION LAYER FOR WAFER-LEVEL PACKAGING

Номер патента: US20180182726A1. Автор: Wagner Thomas. Владелец: Intel IP Corporation. Дата публикации: 2018-06-28.

Interposer And Chip-Scale Packaging For Wafer-Level Camera

Номер патента: US20190181179A1. Автор: QIN Yi,Chen Teng-Sheng,Chang Chia-Yang. Владелец: . Дата публикации: 2019-06-13.

METHOD AND STRUCTURE FOR WAFER-LEVEL PACKAGING

Номер патента: US20170186717A1. Автор: Gao Guohua. Владелец: . Дата публикации: 2017-06-29.

Molding Structure for Wafer Level Package

Номер патента: US20180190555A1. Автор: Cheng Ming-Da,Lin Chih-Wei,Liu Chung-Shi,Yu Chen-Hua,Lin Wei-Hung,Huang Chih-Fan. Владелец: . Дата публикации: 2018-07-05.

PRESSURE SENSOR STRUCTURE CONFIGURED FOR WAFER-LEVEL CALIBRATION

Номер патента: US20190194014A1. Автор: Czarnocki Wlodzimierz. Владелец: . Дата публикации: 2019-06-27.

METHOD FOR WAFER-LEVEL MANUFACTURING OF OBJECTS AND CORRESPONDING SEMI-FINISHED PRODUCTS

Номер патента: US20140295122A1. Автор: Rudmann Hartmut,Rossi Markus,Riel Peter. Владелец: . Дата публикации: 2014-10-02.

CAP STRUCTURE FOR WAFER LEVEL PACKAGE

Номер патента: US20170207766A1. Автор: Stokes Paul,Potdar Vishwavasu. Владелец: . Дата публикации: 2017-07-20.

FABRICATING METHOD FOR WAFER-LEVEL PACKAGING

Номер патента: US20170213810A1. Автор: Ding Wanchun. Владелец: . Дата публикации: 2017-07-27.

METHOD AND STRUCTURE FOR WAFER LEVEL PACKAGING WITH LARGE CONTACT AREA

Номер патента: US20180211916A1. Автор: Xue Yan Xun. Владелец: . Дата публикации: 2018-07-26.

COPPER POST STRUCTURE FOR WAFER LEVEL CHIP SCALE PACKAGE

Номер патента: US20150228597A1. Автор: Tsai Hao-Yi,Shih Chao-Wen,HSIEH CHEN-CHIH,Chiang Yung-Ping. Владелец: . Дата публикации: 2015-08-13.

SEAL RING FOR WAFER LEVEL PACKAGE

Номер патента: US20180233462A1. Автор: Gao Shan,TAN Juan Boon,GONG Shunqiang. Владелец: . Дата публикации: 2018-08-16.

ELECTROPLATING APPARATUS AND PROCESS FOR WAFER LEVEL PACKAGING

Номер патента: US20180237933A1. Автор: PORTER David W.,Mayer Steven T.. Владелец: . Дата публикации: 2018-08-23.

PARTIAL SPACERS FOR WAFER-LEVEL FABRICATED MODULES

Номер патента: US20160247976A1. Автор: Rudmann Hartmut,Gubser Simon,Westenhöfer Susanne. Владелец: . Дата публикации: 2016-08-25.

Interconnect Structure for Wafer Level Package

Номер патента: US20140339696A1. Автор: Yu Chen-Hua,Hung Jui-Pin,Lin Jing-Cheng,Jeng Shin-Puu,LIU Nai-Wei. Владелец: . Дата публикации: 2014-11-20.

Integrated bondline spacers for wafer level packaged circuit devices

Номер патента: US20140346643A1. Автор: Adam M. Kennedy,Stephen H. Black,Thomas Allan KOCIAN,Roland Gooch,Buu Diep. Владелец: Raytheon Co. Дата публикации: 2014-11-27.

Molding Structure for Wafer Level Package

Номер патента: US20190259678A1. Автор: Cheng Ming-Da,Lin Chih-Wei,Liu Chung-Shi,Yu Chen-Hua,Lin Wei-Hung,Huang Chih-Fan. Владелец: . Дата публикации: 2019-08-22.

INTERCONNECT STRUCTURES FOR WAFER LEVEL PACKAGE AND METHODS OF FORMING SAME

Номер патента: US20160284667A1. Автор: Liu Chung-Shi,Yu Chen-Hua. Владелец: . Дата публикации: 2016-09-29.

System for Wafer-Level Phosphor Deposition

Номер патента: US20140374758A1. Автор: Xu Tao. Владелец: Bridgelux, Inc.. Дата публикации: 2014-12-25.

High Efficiency Light Emitting Diode Package Suitable for Wafer Level Packaging

Номер патента: US20150303359A1. Автор: Liu Kai,Lin Chao-Kun. Владелец: TOSHIBA CORPORATION. Дата публикации: 2015-10-22.

Interposer And Chip-Scale Packaging For Wafer-Level Camera

Номер патента: US20170294477A1. Автор: QIN Yi,Chen Teng-Sheng,Chang Chia-Yang. Владелец: . Дата публикации: 2017-10-12.

Electroplating apparatus and process for wafer level packaging

Номер патента: US20160312373A1. Автор: Steven T. Mayer,David W. Porter. Владелец: Novellus Systems Inc. Дата публикации: 2016-10-27.

Methods and Apparatus of Guard Rings for Wafer-Level-Packaging

Номер патента: US20150325533A1. Автор: Hsien-Wei Chen,Tsung-Yuan Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-11-12.

SYSTEMS AND METHODS FOR WAFER-LEVEL MANUFACTURING OF DEVICES HAVING LAND GRID ARRAY INTERFACES

Номер патента: US20190304938A1. Автор: Hadizadeh Rameen. Владелец: . Дата публикации: 2019-10-03.

Molding Structure for Wafer Level Package

Номер патента: US20160336247A1. Автор: Cheng Ming-Da,Lin Chih-Wei,Liu Chung-Shi,Yu Chen-Hua,Lin Wei-Hung,Huang Chih-Fan. Владелец: . Дата публикации: 2016-11-17.

HIGH ASPECT RATIO INTERCONNECT FOR WAFER LEVEL PACKAGE (WLP) AND INTEGRATED CIRCUIT (IC) PACKAGE

Номер патента: US20160343646A1. Автор: KESER Lizabeth Ann,ALVARADO Reynante Tamunan,Cui Tong. Владелец: . Дата публикации: 2016-11-24.

Apparatus and Method for Wafer Level Bonding

Номер патента: US20150357226A1. Автор: Chao Lan-Lin,Tu Yeur-Luen,Liu Ping-Yin,Huang Xin-Hua,Lee Ru-Liang,Chu Yen-Chang. Владелец: . Дата публикации: 2015-12-10.

SHEET MOLDING PROCESS FOR WAFER LEVEL PACKAGING

Номер патента: US20170372998A1. Автор: Chen Yenhao Benjamin. Владелец: . Дата публикации: 2017-12-28.

Repassivation application for wafer-level chip-scale package

Номер патента: US20200388508A1. Автор: Makoto Shibuya,Yi Yan,Daiki Komatsu,Luu Thanh Nguyen,Hau Nguyen. Владелец: Texas Instruments Inc. Дата публикации: 2020-12-10.

Integrated bondline spacers for wafer level packaged circuit devices

Номер патента: CA2889975C. Автор: Adam M. Kennedy,Stephen H. Black,Thomas Allan KOCIAN,Roland Gooch,Buu Diep. Владелец: Raytheon Co. Дата публикации: 2022-01-04.

Adhesive Tape for Wafer Level Back Side and Preparation Methods Thereof

Номер патента: KR101936873B1. Автор: 전성호,나병순. Владелец: (주)엠티아이. Дата публикации: 2019-01-11.

Manufacturing method for wafer level chip stack package

Номер патента: KR100570514B1. Автор: 이강욱,정세영,심성민,김순범,김웅광,송영희. Владелец: 삼성전자주식회사. Дата публикации: 2006-04-13.

Electroplating apparatus and process for wafer level packaging

Номер патента: US9404194B2. Автор: Steven T. Mayer,David W. Porter. Владелец: Novellus Systems Inc. Дата публикации: 2016-08-02.

Redistribution layer for wafer-level chip scale package and method therefor

Номер патента: CN101292335A. Автор: 迈克尔·C·洛. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2008-10-22.

Microelectronic package for wafer-level chip scale packaging with fan-out

Номер патента: US10181457B2. Автор: Rajesh Katkar,Ashok S. Prabhu. Владелец: Invensas LLC. Дата публикации: 2019-01-15.

A kind of closed structure and its manufacture method for wafer-level packaging

Номер патента: CN105600738B. Автор: 贾斌,祝明国,胡念楚. Владелец: RDA MICROELECTRONICS (SHANGHAI) CORP Ltd. Дата публикации: 2018-02-02.

Interconnection structure for wafer-level packaging part and forming method thereof

Номер патента: CN105390455B. Автор: 余振华,刘重希. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-20.

Encapsulation structure and encapsulation method for wafer-level die sizes

Номер патента: CN102280433A. Автор: 王蔚,王之奇,张坚,王宥军,俞国庆,杨红颖. Владелец: China Wafer Level CSP Co Ltd. Дата публикации: 2011-12-14.

A thermal-cap for wafer level chip scale package and it's manufacturing method

Номер патента: KR100898404B1. Автор: 명윤경. Владелец: 주식회사 휘닉스아이씨피. Дата публикации: 2009-05-21.

Method for manufacturing CSP for wafer level stack package

Номер патента: KR100618543B1. Автор: 이강욱,마금희,정세영,심성민,김순범,김웅광,송영희,오세용. Владелец: 삼성전자주식회사. Дата публикации: 2006-08-31.

Re-wiring manufacturing process for wafer-level chip

Номер патента: CN104167386B. Автор: 陈�胜,张志良,吕军,赖芳奇. Владелец: SUZHOU KEYANG PHOTOELECTRIC TECHNOLOGY Co Ltd. Дата публикации: 2017-02-15.

Use of a heat-resistant adhesive sheet for wafer level package fabrication

Номер патента: EP2337065B1. Автор: Kazuyuki Kiuchi,Shinji Hoshino,Yuichiro Yanagi. Владелец: Nitto Denko Corp. Дата публикации: 2013-11-06.

Eutectic bonding material-series structure used for wafer-level encapsulation

Номер патента: CN103646882A. Автор: 焦斌斌. Владелец: JIANGSU AITEMAN ELECTRONIC TECHNOLOGY Co Ltd. Дата публикации: 2014-03-19.

Airtight structure for wafer level encapsulation and manufacturing method thereof

Номер патента: CN105600738A. Автор: 贾斌,祝明国,胡念楚. Владелец: RDA MICROELECTRONICS (SHANGHAI) CORP Ltd. Дата публикации: 2016-05-25.

Method and system for wafer-level tuning of bulk acoustic wave resonators and filters

Номер патента: EP1258990B1. Автор: Juha Ellä,Pasi Tikka,Jyrki Kaitila. Владелец: Nokia Oyj. Дата публикации: 2007-11-21.

Enhanced Copper Posts for Wafer Level Chip Scale Packaging

Номер патента: US20110057313A1. Автор: Han-Ping Pu,Pei-Haw Tsao,Kuo-Chin Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-03-10.

Methods and apparatus for wafer-level die bridge

Номер патента: US10651126B2. Автор: Arvind Sundarrajan,Chien-Kang Hsiung. Владелец: Applied Materials Inc. Дата публикации: 2020-05-12.

Fabricating method for wafer level package

Номер патента: KR100855702B1. Автор: 김창한. Владелец: 엠텍비젼 주식회사. Дата публикации: 2008-09-04.

Method for wafer level packaging of electronic devices

Номер патента: US8809116B2. Автор: Behnam Tabrizi. Владелец: Skyworks Solutions Inc. Дата публикации: 2014-08-19.

Method for wafer level package of sensor chip

Номер патента: US20070224728A1. Автор: Enboa Wu,Rou-Ching Yang. Владелец: National Taiwan University NTU. Дата публикации: 2007-09-27.

Carrying platform for wafer-level ball mounter

Номер патента: CN103794541A. Автор: 王鹤,谢旭波. Владелец: Shanghai Micro Electronics Equipment Co Ltd. Дата публикации: 2014-05-14.

Highly Reliable Low Cost Structure for Wafer-Level Ball Grid Array Packaging

Номер патента: US20080265408A1. Автор: Matthew V. Kaufmann,Teck Yang Tan. Владелец: Broadcom Corp. Дата публикации: 2008-10-30.

Semiconductor structure and method for wafer level chip packaging

Номер патента: CN112106191A. Автор: I·W·兰穆图,M·K·贾因,T·S·保尔森. Владелец: Texas Instruments Inc. Дата публикации: 2020-12-18.

Interconnect structure for wafer level package

Номер патента: US8829676B2. Автор: Chen-Hua Yu,Shin-puu Jeng,Jing-Cheng Lin,Jui-Pin Hung,Nai-wei LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-09.

Molding structure for wafer level package

Номер патента: CN104733330A. Автор: 余振华,刘重希,郑明达,黄晖闵,林威宏,黄致凡. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-06-24.

Methods for wafer-level packaging of microelectronic devices and microelectronic devices formed by such methods

Номер патента: US7256074B2. Автор: James L. Voelz. Владелец: Micron Technology Inc. Дата публикации: 2007-08-14.

Interconnect structure for wafer level package

Номер патента: US9230902B2. Автор: Chen-Hua Yu,Shin-puu Jeng,Jing-Cheng Lin,Jui-Pin Hung,Nai-wei LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-05.

Integrated bondline spacers for wafer level packaged circuit devices

Номер патента: CA2889975A1. Автор: Adam M. Kennedy,Stephen H. Black,Thomas Allan KOCIAN,Roland Gooch,Buu Diep. Владелец: Raytheon Co. Дата публикации: 2014-05-08.

High efficiency light emitting diode package suitable for wafer level packaging

Номер патента: US9318671B2. Автор: Kai Liu,Chao-Kun Lin. Владелец: Toshiba Corp. Дата публикации: 2016-04-19.

Method for wafer-level package

Номер патента: US7361284B2. Автор: Chih-Hsien Chen. Владелец: Touch Micro System Technology Inc. Дата публикации: 2008-04-22.

A method for wafer level packaging and package structure thereof

Номер патента: TW201508864A. Автор: Tsung-Jen Liao. Владелец: CHIPMOS TECHNOLOGIES INC. Дата публикации: 2015-03-01.

Integrated bondline spacers for wafer level packaged circuit devices

Номер патента: EP2915190A2. Автор: Adam M. Kennedy,Stephen H. Black,Thomas Allan KOCIAN,Roland Gooch,Buu Diep. Владелец: Raytheon Co. Дата публикации: 2015-09-09.

Highly reliable low-cost structure for wafer-level ball grid array packaging

Номер патента: TWI394218B. Автор: V Kaufmann Matthew,Yang Tan Teck. Владелец: Broadcom Corp. Дата публикации: 2013-04-21.

Method and system for wafer-level tuning of bulk acoustic wave resonators and filters

Номер патента: EP1258988B1. Автор: Juha Ellä,Pasi Tikka,Jyrki Kaitila. Владелец: Nokia Oyj. Дата публикации: 2007-11-21.

Temperature maintenance System of Probe Card for Wafer Burn-In Test

Номер патента: KR100671485B1. Автор: 이승현,주홍철,이태교,이승국. Владелец: 주식회사 씨너텍. Дата публикации: 2007-01-19.

Covers for microelectronic imagers and methods for wafer-level packaging of microelectronic imagers

Номер патента: CN1985379B. Автор: 姜彤弻,J·M·布鲁克斯. Владелец: Micron Technology Inc. Дата публикации: 2010-05-05.

Electroplating apparatus and process for wafer level packaging

Номер патента: US10309024B2. Автор: Steven T. Mayer,David W. Porter. Владелец: Novellus Systems Inc. Дата публикации: 2019-06-04.

Method and structure for wafer-level packaging

Номер патента: US9922950B2. Автор: Guohua Gao. Владелец: Tongfu Microelectronics Co Ltd. Дата публикации: 2018-03-20.

Method for wafer level stack die placement

Номер патента: US20060099735A1. Автор: Hui-Lung Chou. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2006-05-11.

Method for wafer level package and fabricating cap structures

Номер патента: TW200727371A. Автор: Ming-Yen Chiu,Shih-Feng Shao. Владелец: Touch Micro System Tech. Дата публикации: 2007-07-16.

Method and apparatus for wafer level packaging

Номер патента: WO2019005679A1. Автор: Guan Huei See,Arvind Sundarrajan,Yu Gu. Владелец: Applied Materials, Inc.. Дата публикации: 2019-01-03.

Method and system for wafer-level tuning of bulk acoustic wave resonators and filters

Номер патента: US20020158702A1. Автор: Juha Ellä,Pasi Tikka,Jyrki Kaitila. Владелец: Nokia Oyj. Дата публикации: 2002-10-31.

Photonic debonding for wafer-level packaging applications

Номер патента: WO2022040646A1. Автор: Xiao Liu,Rama Puligadda,Xavier Martinez,Luke M. Prenger. Владелец: Brewer Science, Inc.. Дата публикации: 2022-02-24.

Dual cure b-stageable underfill for wafer level

Номер патента: AU2002366498A1. Автор: Bodan Ma,Quinn K. Tong,Sun-Hee Lehmann. Владелец: National Starch and Chemical Investment Holding Corp. Дата публикации: 2003-06-30.

Methods and Apparatus of Guard Rings for Wafer-Level-Packaging

Номер патента: US20170141051A1. Автор: Hsien-Wei Chen,Tsung-Yuan Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-18.

Methods and apparatus of guard rings for wafer-level-packaging

Номер патента: US9559067B2. Автор: Hsien-Wei Chen,Tsung-Yuan Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-31.

Antenna assembly for wafer level packaging

Номер патента: US20170154859A1. Автор: Jinbang Tang,Weng Foong Yap. Владелец: NXP USA Inc. Дата публикации: 2017-06-01.

Method and system for wafer level singulation

Номер патента: TW201241907A. Автор: Seshadri Ramaswami,Michael R Rice,Klaus Schuegraf,Mohsen S Salek,Claes H Bjorkman. Владелец: Applied Materials Inc. Дата публикации: 2012-10-16.

Bumping process for wafer level package

Номер патента: TW578288B. Автор: Jen-Kuang Fang. Владелец: Advanced Semiconductor Eng. Дата публикации: 2004-03-01.

Methods and Apparatus for Wafer Level Packaging

Номер патента: US20150179522A1. Автор: Hsien-Wei Chen,Wen-Hsiung LU,Tsung-Yuan Yu,Hung-Jen Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-06-25.

Seal ring for wafer level package

Номер патента: US20180233462A1. Автор: Shan GAO,Juan Boon Tan,Shunqiang Gong. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2018-08-16.

Method for wafer level stack die placement

Номер патента: TW200616178A. Автор: Hui-Lung Chou. Владелец: Advanced Semiconductor Eng. Дата публикации: 2006-05-16.

Method and apparatus for wafer level packaging

Номер патента: SG11201910867VA. Автор: Guan Huei See,Arvind Sundarrajan,Yu Gu. Владелец: Applied Materials Inc. Дата публикации: 2020-01-30.

System and apparatus for wafer level integration of components

Номер патента: TW200929405A. Автор: Lionel Chien Hui Tay,Henry Descalzo Bathan,Dioscoro A Merilo,Zigmund R Camacho. Владелец: Stats Chippac Ltd. Дата публикации: 2009-07-01.

Apparatus and method for wafer level packaging

Номер патента: TW200601514A. Автор: Jen-Yi Chen,Kai-Hsiang Yen,Jing-Hung Chiou. Владелец: Ind Tech Res Inst. Дата публикации: 2006-01-01.

Methods and systems for wafer level packaging of MEMS structures

Номер патента: TW200837005A. Автор: XIAO YANG,Justin Payne,Ye Wang,Yuxiang Wang,Wook Ji. Владелец: Miradia Inc. Дата публикации: 2008-09-16.

Bumping process for wafer level package

Номер патента: TW200419757A. Автор: Jen-Kuang Fang. Владелец: Advanced Semiconductor Eng. Дата публикации: 2004-10-01.

Manufacturing tool for wafer level package and method of placing dies

Номер патента: TW200537665A. Автор: Wen-Kun Yang,Shin-Li Chen,Wen-Ping Yang. Владелец: Advanced Chip Eng Tech Inc. Дата публикации: 2005-11-16.

Manufacturing tool for wafer level package and method of placing dies

Номер патента: TWI240391B. Автор: Wen-Kun Yang,Shin-Li Chen,Wen-Ping Yang. Владелец: Advanced Chip Eng Tech Inc. Дата публикации: 2005-09-21.

Method for wafer level package of sensor chip

Номер патента: TW200638527A. Автор: Rou-Ching Yang,En-Boa Wu. Владелец: Univ Nat Taiwan. Дата публикации: 2006-11-01.

Chip packaging process including simplification and mergence of burn-in test and high temperature test

Номер патента: TW200917400A. Автор: Wen-Jeng Fan,Li-Chih Fang. Владелец: Powertech Technology Inc. Дата публикации: 2009-04-16.

Burn-in test jig for semiconductor device and burn-in test method

Номер патента: JP3189812B2. Автор: 洋 松岡. Владелец: NEC Corp. Дата публикации: 2001-07-16.

Cooling fan module of power supply used in burn in test equipment

Номер патента: KR101463607B1. Автор: 한강룡. Владелец: 주식회사 다온시스. Дата публикации: 2014-12-05.

Chip packaging process including simplification and mergence of burn-in test and high temperature test

Номер патента: TWI339871B. Автор: Wen Jeng Fan,Li Chih Fang. Владелец: Powertech Technology Inc. Дата публикации: 2011-04-01.

Burn-in test method for hybrid integrated circuit

Номер патента: JPS6442142A. Автор: Norimasa Takada. Владелец: NEC Corp. Дата публикации: 1989-02-14.

Jig and method for performing burn-in test on semiconductor device

Номер патента: JP2000150591A. Автор: Hiroshi Matsuoka,洋 松岡. Владелец: NEC Corp. Дата публикации: 2000-05-30.

A kind of whole machine cabinet server burn-in test monitoring method and system

Номер патента: CN109586994A. Автор: 王贞国. Владелец: Zhengzhou Yunhai Information Technology Co Ltd. Дата публикации: 2019-04-05.

Automatic transfer method of burn-in board by automatic guided vehicle and burn-in test system

Номер патента: JP3307189B2. Автор: 栄司 佐々木. Владелец: 安藤電気株式会社. Дата публикации: 2002-07-24.

Semiconductor integrated circuit device and burn-in test method for semiconductor integrated circuit device

Номер патента: JP2826404B2. Автор: 浩一 長谷川. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-11-18.

Semiconductor wafer for burn-in testing of integrated circuits

Номер патента: DE4444584A1. Автор: Hiroyuki Ban,Mitsuhiro Saitou,Kouji Numazaki. Владелец: NipponDenso Co Ltd. Дата публикации: 1995-07-06.

Multilayer microfluidic probe head with immersion channels and fabrication thereof

Номер патента: GB201308835D0. Автор: . Владелец: International Business Machines Corp. Дата публикации: 2013-07-03.

Microfluidic probe head with aspiration posts

Номер патента: WO2019150189A8. Автор: David P. Taylor,Robert Lovchik,Govind V. Kaigala,Itay Barak,Yochanan Uri,Marcel Buerge. Владелец: BIO-RAD EUROPE GMBH. Дата публикации: 2020-09-17.

RF power probe head with a thermally conductive bushing

Номер патента: US6559650B2. Автор: David J. Coumou. Владелец: Eni Technology Inc. Дата публикации: 2003-05-06.

Driving head for telescopic drill rods and machine comprising said head

Номер патента: US9562391B2. Автор: Luca Urbani,Marcello Pennacchioli. Владелец: I M T INTERNATIONAL SpA. Дата публикации: 2017-02-07.

Adjustment system of gymnastic machine components and gymnastic machine comprising said adjustment system

Номер патента: EP3922868A1. Автор: Daniele CEI,Fabio Urbini,Alberto Faedi. Владелец: Technogym SpA. Дата публикации: 2021-12-15.

Laminar element and countertop and step comprising said laminar element

Номер патента: WO2024146863A1. Автор: Juan Lloveras Calvo. Владелец: Juan Lloveras Calvo. Дата публикации: 2024-07-11.

A drive shaft arrangement and a vehicle comprising said arrangement

Номер патента: EP4368847A1. Автор: Lars Stenvall,Per Strandemo. Владелец: POLESTAR PERFORMANCE AB. Дата публикации: 2024-05-15.

An amplification circuit and an oscillator comprising said circuit

Номер патента: WO1999050953A2. Автор: Göran MARNFELDT. Владелец: AstraZeneca AB. Дата публикации: 1999-10-07.

A drive shaft arrangement and a vehicle comprising said arrangement

Номер патента: US20240157788A1. Автор: Lars Stenvall,Per Strandemo. Владелец: POLESTAR PERFORMANCE AB. Дата публикации: 2024-05-16.

An amplification circuit and an oscillator comprising said circuit

Номер патента: WO1999050953A3. Автор: Goeran Marnfeldt. Владелец: Goeran Marnfeldt. Дата публикации: 1999-11-18.

Washing device for pleated cartridges and filter comprising said device

Номер патента: EP1613411A1. Автор: Valerio Vernocchi. Владелец: Vernocchi Valerio. Дата публикации: 2006-01-11.

DRAM AND METHOD FOR TESTING THE SAME IN THE WAFER LEVEL BURN-IN TEST MODE

Номер патента: US20130021862A1. Автор: Chou Min-Chung. Владелец: Elite Semiconductor Memory Technology Inc.. Дата публикации: 2013-01-24.

SEMICONDUCTOR DEVICE ON WHICH WAFER-LEVEL BURN-IN TEST IS PERFORMED AND MANUFACTURING METHOD THEREOF

Номер патента: US20120307581A1. Автор: Kodama Takuyo. Владелец: ELPIDA MEMORY, INC.. Дата публикации: 2012-12-06.

Wafer Level Burn-in Test Method

Номер патента: KR100193135B1. Автор: 이종학. Владелец: 윤종용. Дата публикации: 1999-06-15.

Burn-in test method for integrated microcircuits

Номер патента: RU2554660C1. Автор: . Владелец: Максимов Владимир Алексеевич. Дата публикации: 2015-06-27.

Wafer level burn-in board and method for forming the same

Номер патента: TW557557B. Автор: John Liu,Yeong-Her Wang,Shr-Jie Jeng,Noty Tseng,Yau-Rung Li. Владелец: Chipmos Technologies Bermuda. Дата публикации: 2003-10-11.

Burn-in socket and test method for burn-in test

Номер патента: KR980012184A. Автор: 김영대,정문채,서정우. Владелец: 김광호. Дата публикации: 1998-04-30.

Burn-in test method using shape memory alloy

Номер патента: KR19990069436A. Автор: 김남석,정태경. Владелец: 윤종용. Дата публикации: 1999-09-06.

Horizontality-adjustable probe card

Номер патента: IE87268B1. Автор: CHUANG Han-Yu,Chang Yu-Chieh,Han Chung-Hsien,Peng Hans-Po-Han. Владелец: MPI Corp. Дата публикации: 2021-10-27.

Horizontality-adjustable probe card

Номер патента: IE20200243A1. Автор: CHUANG Han-Yu,PENG PO-HAN,Chang Yu-Chieh,Han Chung-Hsien. Владелец: MPI Corp. Дата публикации: 2021-05-12.

BLACK CURABLE COMPOSITION FOR WAFER LEVEL LENS AND WAFER LEVEL LENS

Номер патента: US20120202154A1. Автор: . Владелец: FUJIFILM Corporation. Дата публикации: 2012-08-09.

Black curable composition for wafer level lens and wafer level lens

Номер патента: JP5583389B2. Автор: 祐士 金子. Владелец: Fujifilm Corp. Дата публикации: 2014-09-03.

Spacer Wafer For Wafer-Level Camera And Method For Manufacturing Same

Номер патента: US20130122247A1. Автор: Barnes George,Rauker Goran. Владелец: . Дата публикации: 2013-05-16.

Spacer Wafer For Wafer-Level Camera And Method Of Manufacturing Same

Номер патента: US20130122261A1. Автор: Barnes George,Rauker Goran. Владелец: . Дата публикации: 2013-05-16.

Method and System for Wafer Level Testing of Semiconductor Chips

Номер патента: US20120013359A1. Автор: SHAO Zhaojun. Владелец: . Дата публикации: 2012-01-19.

ELECTROPLATING APPARATUS AND PROCESS FOR WAFER LEVEL PACKAGING

Номер патента: US20120138471A1. Автор: . Владелец: . Дата публикации: 2012-06-07.

METHOD FOR WAFER LEVEL PACKAGING OF ELECTRONIC DEVICES

Номер патента: US20120322206A1. Автор: Tabrizi Behnam. Владелец: SKYWORKS SOLUTIONS, INC.. Дата публикации: 2012-12-20.

Interconnect Structure for Wafer Level Package

Номер патента: US20130001776A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-01-03.

Methods and Apparatus of Guard Rings for Wafer-Level-Packaging

Номер патента: US20130241049A1. Автор: Chen Hsien-Wei,YU Tsung-Yuan. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-09-19.

METHOD FOR WAFER-LEVEL TESTING DICED MULTI-CHIP STACKED PACKAGES

Номер патента: US20140051189A1. Автор: KAI-JUN CHANG,Yu-Shin Liu,Shin-Kung Chen,Kun-Chih Chan. Владелец: . Дата публикации: 2014-02-20.

Non-destructive evaluation method for wafer-level piezoelectric material and equipment for measurement of the same

Номер патента: TWI598974B. Автор: 程達隆,高國陞. Владелец: 樹德科技大學. Дата публикации: 2017-09-11.

SEMICONDUCTOR DEVICE INCLUDING TEST CIRCUIT AND BURN-IN TEST METHOD

Номер патента: US20130107649A1. Автор: CHO JIn Hee. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2013-05-02.

Burn-in test method and burn-in test device

Номер патента: JPH11142471A. Автор: Hideki Kano,秀樹 狩野. Владелец: NEC Corp. Дата публикации: 1999-05-28.

Method and apparatus thereof for burn-in testing of a static random access memory

Номер патента: TW514929B. Автор: Shih-Huang Huang,Jui-Lung Chen. Владелец: United Microelectronics Corp. Дата публикации: 2002-12-21.

Burn-in test system

Номер патента: TW201145792A. Автор: wen-nian Zhang. Владелец: Zhang Ya Dong. Дата публикации: 2011-12-16.

Wafer scale burn-in testing

Номер патента: SG72830A1. Автор: Chee Cheong Wong,Shun Shen Peter Wang. Владелец: Institution Of Microelectronic. Дата публикации: 2000-05-23.

The continuous tunnel-type burn-in test equipment

Номер патента: TW392269B. Автор: Shau-Wen Lau. Владелец: United Microelectronics Corp. Дата публикации: 2000-06-01.

BATTERY PACK BURN-IN TEST SYSTEM AND METHOD

Номер патента: US20120126820A1. Автор: Tan Eng Soon Dave,Hung Shih-Fa,Tan Chit Tee. Владелец: . Дата публикации: 2012-05-24.

METHOD OF BURN-IN TESTING FOR THERMALLY ASSISTED HEAD

Номер патента: US20120147717A1. Автор: SHIMAZAWA Koji,TANAKA Kosuke,HONDA Takashi,NAGAI Yoshiteru,FUJII Ryuji,NOMA Tsuguki,Mitsuzawa Hosei. Владелец: . Дата публикации: 2012-06-14.

AUTOMATED LOADING/UNLOADING OF DEVICES FOR BURN-IN TESTING

Номер патента: US20120268148A1. Автор: TEOH Wan Yen,SUBPANYADEE Paiboon,PEREZ Kurt Joseph,TEO Chai Soon,ONG Swee HIN. Владелец: . Дата публикации: 2012-10-25.

METHOD FOR PERFORMING BURN-IN TEST

Номер патента: US20120269047A1. Автор: . Владелец: TDK Corporation. Дата публикации: 2012-10-25.

BURN-IN TESTING APPARATUS

Номер патента: US20120326740A1. Автор: . Владелец: CHROMA ATE INC.. Дата публикации: 2012-12-27.

METHOD OF BURN-IN TEST OF EEPROM OR FLASH MEMORIES

Номер патента: US20130064015A1. Автор: Tailliet François. Владелец: STMICROELECTRONICS (ROUSSET) SAS. Дата публикации: 2013-03-14.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF PERFORMING BURN-IN TEST ON THE SAME

Номер патента: US20130148405A1. Автор: Kang Sang-beom,LEE Joon-hyung. Владелец: . Дата публикации: 2013-06-13.

SYSTEM AND METHOD FOR REDUCING REACTIVE CURRENT IN POWER CONVERTER BURN-IN TESTS

Номер патента: US20130258732A1. Автор: Smith David,Zhu Huibin,Wilmer David Scott. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2013-10-03.

Burn-In Test Mode Generation Circuit

Номер патента: KR940021410U. Автор: . Владелец: . Дата публикации: 1994-09-24.

Electronic component inspection system for burn-in test

Номер патента: JP6660440B1. Автор: 忠熙 藤田. Владелец: 大分電子工業株式会社. Дата публикации: 2020-03-11.

A kind of COC burn-in test flexible jig

Номер патента: CN208752109U. Автор: 李家桐. Владелец: Tianjin Feilai Technology Co Ltd. Дата публикации: 2019-04-16.

Burn-in test board

Номер патента: JP3128442B2. Автор: 尚司 奥村,佳武 宮川. Владелец: Risho Kogyo Co Ltd. Дата публикации: 2001-01-29.

A kind of rfid interrogator burn-in test servicing unit

Номер патента: CN103675541B. Автор: 刘海波,钟旭. Владелец: CHENGDU TIANZHI DAXING INFORMATION TECHNOLOGY Co Ltd. Дата публикации: 2015-12-09.

Fitting jig for ic burn-in test work

Номер патента: JPS6316272A. Автор: Toshio Hashimoto,美徳 門脇,Yoshinori Kadowaki,橋本 利夫. Владелец: Toyota Motor Corp. Дата публикации: 1988-01-23.

Inrush current prevention circuit for burn-in test

Номер патента: KR200213194Y1. Автор: 이수길. Владелец: 이형도. Дата публикации: 2001-02-15.

Burn-in test apparatus

Номер патента: JPS6418233A. Автор: Naoki Eguchi. Владелец: HITACHI LTD. Дата публикации: 1989-01-23.

Wafer level dynamic burn-in test method

Номер патента: TWI550293B. Автор: 黃賢緯,蘇聖峰,劉東昱,李瀛州. Владелец: 力晶科技股份有限公司. Дата публикации: 2016-09-21.

Burn-in test system

Номер патента: TWI465737B. Автор: Chen Wei Hsin,yi ming Wang,Chien Ming Lai,Ke Chin Lin,Chien Hsuan Huang. Владелец: Accton Technology Corp. Дата публикации: 2014-12-21.

A kind of electrolytic capacitor burn-in test monomer jig

Номер патента: CN209372907U. Автор: 李英华. Владелец: Shenzhen Hongming Automation Equipment Co Ltd. Дата публикации: 2019-09-10.

A kind of COC burn-in test built-up jig

Номер патента: CN208752108U. Автор: 李家桐. Владелец: Tianjin Feilai Technology Co Ltd. Дата публикации: 2019-04-16.

Burn-in testing method

Номер патента: CN102043119A. Автор: 简维廷,张启华,丁育林,谢君强. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2011-05-04.

Burn-in test apparatus

Номер патента: TWI456219B. Автор: Ming Hsien Lin,Chiang Cheng Fan,Hung Chi Wang,Hsiu Wei Kuo. Владелец: Chroma ATE Inc. Дата публикации: 2014-10-11.

Dynamic ram and burn-in test method using it

Номер патента: JPH11213696A. Автор: Kiyoomi Oshikoshi,清臣 押越. Владелец: Mitsubishi Electric Corp. Дата публикации: 1999-08-06.

Probing method having alignment correcting mechanism and probe card

Номер патента: IE20200242A1. Автор: CHUANG Han-Yu,PENG PO-HAN,Chang Yu-Chieh,Han Chung-Hsien. Владелец: MPI Corp. Дата публикации: 2021-05-12.

Probing method having alignment correcting mechanism and probe card

Номер патента: IE87416B1. Автор: CHUANG Han-Yu,PENG PO-HAN,Chang Yu-Chieh,Han Chung-Hsien. Владелец: MPI Corp. Дата публикации: 2023-07-19.