• Главная
  • Epitaxial source/drain contacts self-aligned to gates for deposited fet channels

Epitaxial source/drain contacts self-aligned to gates for deposited fet channels

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Epitaxial source/drain contacts self-aligned to gates for deposited FET channels

Номер патента: TW201214579A. Автор: Vijay Narayanan,Paul Chang,Josephine B Chang,Jeffrey W Sleight. Владелец: Ibm. Дата публикации: 2012-04-01.

Self-aligned doping of source-drain contacts

Номер патента: GB0224871D0. Автор: . Владелец: Plastic Logic Ltd. Дата публикации: 2002-12-04.

EEPROM devices having isolation region self aligned to floating gate

Номер патента: GB2366911A. Автор: Min Kim,Sung-Tae Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-03-20.

Method of manufacturing mask for deposition

Номер патента: US09543520B1. Автор: Youngmin Moon,Minho Moon,Sungsoon Im. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-01-10.

Wavy-shaped epitaxial source/drain structures

Номер патента: US20230326799A1. Автор: Cheng-Wei Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-12.

Techniques for integration of ge-rich p-mos source/drain contacts

Номер патента: EP3120388A1. Автор: Nabil G. Mistkawi,Tahir Ghani,Glenn A. Glass,Anand S. Murthy,Ying Pang. Владелец: Intel Corp. Дата публикации: 2017-01-25.

Techniques for integration of Ge-rich p-MOS source/drain contacts

Номер патента: US09859424B2. Автор: Nabil G. Mistkawi,Tahir Ghani,Glenn A. Glass,Anand S. Murthy,Ying Pang. Владелец: Intel Corp. Дата публикации: 2018-01-02.

Epitaxial source/drain regions in FinFETs and methods for forming the same

Номер патента: US09496398B2. Автор: Tung Ying Lee,Chen-Han Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-15.

Device with epitaxial source/drain region

Номер патента: US12046636B2. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Device with epitaxial source/drain region

Номер патента: US20220102496A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-31.

Device with epitaxial source/drain region

Номер патента: US20220393000A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-12-08.

Fabricating strained channel epitaxial source/drain transistors

Номер патента: US20070194391A1. Автор: Justin Brask,Boyan Boyanov,Anand Murthy,Nick Lindert,Andrew Westmeyer. Владелец: Individual. Дата публикации: 2007-08-23.

Asymmetric gate contact over source/drain contact

Номер патента: US20240332182A1. Автор: Ruilong Xie,Chanro Park,Yann Mignot,Shahab Siddiqui. Владелец: International Business Machines Corp. Дата публикации: 2024-10-03.

Titanium silicide formation in a narrow source-drain contact

Номер патента: US09779987B2. Автор: Min Gyu Sung,Hiroaki Niimi,Kwanyong LIM. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Low resistance source drain contact formation

Номер патента: US10381442B2. Автор: Oleg Gluschenkov,Shogo Mochizuki,Zuoguang Liu,Chun-Chen Yeh,Hiroaki Niimi. Владелец: International Business Machines Corp. Дата публикации: 2019-08-13.

Middle of the line architecture with subtractive source/drain contact

Номер патента: US20240222448A1. Автор: Nelson Felix,Eric Miller,Andrew Herbert Simon. Владелец: International Business Machines Corp. Дата публикации: 2024-07-04.

Forming source/drain contact in a tight tip-to-tip space

Номер патента: US20240339509A1. Автор: Andrew M. Greene,Ruilong Xie,Julien Frougier,Andrew Gaul. Владелец: International Business Machines Corp. Дата публикации: 2024-10-10.

Low resistance source drain contact formation

Номер патента: US09972682B2. Автор: Oleg Gluschenkov,Shogo Mochizuki,Zuoguang Liu,Chun-Chen Yeh,Hiroaki Niimi. Владелец: International Business Machines Corp. Дата публикации: 2018-05-15.

Source/drain contacts for non-planar transistors

Номер патента: US09853156B2. Автор: Sameer S. Pradhan,Subhash M. Joshi,Jin-Sung Chun. Владелец: Intel Corp. Дата публикации: 2017-12-26.

Source/drain contacts for non-planar transistors

Номер патента: US09425316B2. Автор: Sameer S. Pradhan,Subhash M. Joshi,Jin-Sung Chun. Владелец: Intel Corp. Дата публикации: 2016-08-23.

Titanium silicide formation in a narrow source-drain contact

Номер патента: US20170372949A1. Автор: Min Gyu Sung,Hiroaki Niimi,Kwanyong LIM. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-28.

Homogeneous source/drain contact structure

Номер патента: US20220336269A1. Автор: Po-Hsiang Huang,Chang-Wen Chen,Ya-Ching Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-20.

Titanium silicide formation in a narrow source-drain contact

Номер патента: US20150380304A1. Автор: Min Gyu Sung,Hiroaki Niimi,Kwanyong LIM. Владелец: Globalfoundries Inc. Дата публикации: 2015-12-31.

Semiconductor having a source/drain contact with a single inner spacer

Номер патента: US11901434B2. Автор: Haining Yang,YouSeok Suh,Junjing Bao. Владелец: Qualcomm Inc. Дата публикации: 2024-02-13.

Finfet having upper spacers adjacent gate and source/drain contacts

Номер патента: US20200119000A1. Автор: Haiting Wang,Hui Zang,Guowei Xu,Scott Beasor. Владелец: Globalfoundries Inc. Дата публикации: 2020-04-16.

Transistor with counter-electrode connection amalgamated with the source/drain contact

Номер патента: EP2599111A1. Автор: Qing Liu,Maud Vinet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2013-06-05.

Transistor with counter-electrode connection amalgamated with the source/drain contact

Номер патента: US20130193494A1. Автор: Qing Liu,Maud Vinet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2013-08-01.

Method of Forming Source/Drain Contact

Номер патента: US20190115262A1. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-18.

Method of forming source/drain contact

Номер патента: US10522413B2. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-12-31.

Transistors with backside source/drain contact and spacer

Номер патента: US20240186219A1. Автор: Tao Li,Chih-Chao Yang,Ruilong Xie,Tsung-Sheng KANG. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Semiconductor having a source/drain contact with a single inner spacer

Номер патента: EP4331013A1. Автор: Haining Yang,YouSeok Suh,Junjing Bao. Владелец: Qualcomm Inc. Дата публикации: 2024-03-06.

Metal oxide TFT with improved source/drain contacts and reliability

Номер патента: US09412623B2. Автор: TIAN Xiao,Chan-Long Shieh,Gang Yu,Fatt Foong. Владелец: CBRITE Inc. Дата публикации: 2016-08-09.

Source/drain contact structure

Номер патента: EP3944296A1. Автор: Ting Fang,Mei-Yun Wang,Fu-Kai Yang,Chen-Ming Lee,Ruei-Ping Lin,Chung-Hao CAI,Jason Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-01-26.

Via and source/drain contact landing under power rail

Номер патента: US20240186387A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Metal oxide TFT with improved source/drain contacts and reliability

Номер патента: US09768322B2. Автор: TIAN Xiao,Chan-Long Shieh,Gang Yu,Fatt Foong. Владелец: CBRITE Inc. Дата публикации: 2017-09-19.

Method of forming source/drain contacts in unmerged FinFETs

Номер патента: US09379025B1. Автор: Veeraraghavan Basker,Kangguo Cheng,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-06-28.

Self-aligned source/drain contact for vertical field effect transistor

Номер патента: US20200075775A1. Автор: Chen Zhang,Kangguo Cheng,Xin Miao,Wenyu Xu. Владелец: International Business Machines Corp. Дата публикации: 2020-03-05.

Crossbar array with self-formed micro channel insulator metal transition and self-aligned to rram filament

Номер патента: WO2023211509A1. Автор: Ning Ge,Minxian Zhang. Владелец: TETRAMEM INC.. Дата публикации: 2023-11-02.

Improved process for buried-strap self-aligned to deep storage trench

Номер патента: TW459384B. Автор: Ulrike Gruening,Jack A Mandelman,Carl J Radens. Владелец: Ibm. Дата публикации: 2001-10-11.

Method of making select gate self-aligned to floating for split gate flash memory structure

Номер патента: US6251727B1. Автор: Bin-Shing Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2001-06-26.

Vertical DRAM cell with wordline self-aligned to storage trench

Номер патента: TW506120B. Автор: Ulrike Gruening,Toshiharu Furukawa,Jack A Mandelman,Carl J Radens,David V Horak. Владелец: Ibm. Дата публикации: 2002-10-11.

Mosfet with raised sti isolation self-aligned to the gate stack

Номер патента: KR100260688B1. Автор: 어쉰 케이 가탈리아,배디 엘-카레,웬들 피 노블. Владелец: 포만 제프리 엘. Дата публикации: 2000-07-01.

Forming silicon trench isolation (STI) in semiconductor devices self-aligned to diffusion

Номер патента: US20080128774A1. Автор: Rustom Irani,Amichai GIVANT. Владелец: SPANSION ISRAEL LTD. Дата публикации: 2008-06-05.

Structure and method for collar self-aligned to buried plate

Номер патента: US20060292789A1. Автор: Carl Radens,Kangguo Cheng,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2006-12-28.

Process for depositing a different thin film on an oxide superconductor

Номер патента: CA2047001A1. Автор: Michitomo Iiyama,Mitsuchika Saitoh. Владелец: Individual. Дата публикации: 1992-01-13.

Apparatus and method for depositing films on substrate via off-axis laser ablation

Номер патента: US5820948A. Автор: Tatsuoki Nagaishi,Hideo Itozaki. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 1998-10-13.

Angular contact self-aligning toroidal rolling element bearing

Номер патента: US09784309B2. Автор: Magnus Kellstrom,Arne Lars Jonas Kullin,Andreas LÖFQVIST. Владелец: SKF AB. Дата публикации: 2017-10-10.

Angular contact self-aligning roller bearing

Номер патента: US12104647B2. Автор: Kai Cheng,HongJun GUO. Владелец: Individual. Дата публикации: 2024-10-01.

Angular contact self-aligning roller bearing

Номер патента: US20230366431A1. Автор: Kai Cheng,HongJun GUO. Владелец: Individual. Дата публикации: 2023-11-16.

Back-side device contacts around epitaxial source/drain

Номер патента: EP4109505A1. Автор: Tahir Ghani,Mauro Kobrinsky,Charles Wallace,Sukru Yemenicioglu,Mohit HARAN,Leanord Guler. Владелец: Intel Corp. Дата публикации: 2022-12-28.

Half buried nFET/pFET epitaxy source/drain strap

Номер патента: US11798867B2. Автор: Bruce B. Doris,Alexander Reznicek,Jingyun Zhang,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2023-10-24.

THIN FILM TRANSISTORS WITH EPITAXIAL SOURCE/DRAIN CONTACT REGIONS

Номер патента: US20190165181A1. Автор: Hekmatshoartabari Bahman,SHAHIDI Ghavam. Владелец: . Дата публикации: 2019-05-30.

Thin film transistors with epitaxial source/drain contact regions

Номер патента: US10090415B1. Автор: Ghavam Shahidi,Bahman Hekmatshoartabari. Владелец: International Business Machines Corp. Дата публикации: 2018-10-02.

Half buried nFET/pFET epitaxy source/drain strap

Номер патента: US11107752B2. Автор: Bruce B. Doris,Alexander Reznicek,Jingyun Zhang,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2021-08-31.

Source/drain contact positioning under power rail

Номер патента: EP4409627A1. Автор: Kangguo Cheng,Ruilong Xie,Eric Miller,Indira Seshadri. Владелец: International Business Machines Corp. Дата публикации: 2024-08-07.

Source/drain contact positioning under power rail

Номер патента: US12107132B2. Автор: Kangguo Cheng,Ruilong Xie,Eric Miller,Indira Seshadri. Владелец: International Business Machines Corp. Дата публикации: 2024-10-01.

Source/drain contact landing

Номер патента: US20230395721A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-07.

Source/drain contact plugs for multi-channel field-effect transistors

Номер патента: EP4362102A1. Автор: Jinbum Kim,Gyeom KIM,Sujin JUNG,Dahye Kim,Ingyu Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-01.

Transistor having wrap-around source/drain contacts

Номер патента: US20230275152A1. Автор: Peng Xu,Kangguo Cheng,Juntao Li,Zhenxing Bi. Владелец: International Business Machines Corp. Дата публикации: 2023-08-31.

MOSFET gate and source/drain contact metallization

Номер патента: US8809174B2. Автор: Chih-Chao Yang,Soon-Cheon Seo,Bruce B. Doris. Владелец: International Business Machines Corp. Дата публикации: 2014-08-19.

Mosfet gate and source/drain contact metallization

Номер патента: US20140027865A1. Автор: Chih-Chao Yang,Soon-Cheon Seo,Bruce B. Doris. Владелец: International Business Machines Corp. Дата публикации: 2014-01-30.

MOSFET GATE AND SOURCE/DRAIN CONTACT METALLIZATION

Номер патента: US20140027865A1. Автор: Yang Chih-Chao,Doris Bruce B.,Seo Soon-Cheon. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-01-30.

Nanosheet FET including encapsulated all-around source/drain contact

Номер патента: US11011643B2. Автор: Chen Zhang,Peng Xu,Chun Wing Yeung. Владелец: International Business Machines Corp. Дата публикации: 2021-05-18.

Arsenic-doped epitaxial source/drain regions for NMOS

Номер патента: US12094881B2. Автор: Anand Murthy,Ritesh JHAVERI,Nicholas G. MINUTILLO,Ryan KEECH. Владелец: Intel Corp. Дата публикации: 2024-09-17.

Epitaxial source/drain differential spacers

Номер патента: US20160308054A1. Автор: Manoj Mehrotra. Владелец: Texas Instruments Inc. Дата публикации: 2016-10-20.

Arsenic-doped epitaxial source/drain regions for nmos

Номер патента: US20230197729A1. Автор: Anand Murthy,Ritesh JHAVERI,Nicholas G. MINUTILLO,Ryan KEECH. Владелец: Intel Corp. Дата публикации: 2023-06-22.

Epitaxial source/drain structure and method of forming same

Номер патента: US12062720B2. Автор: Hsueh-Chang Sung,Kun-Mu Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-13.

Device with epitaxial source/drain region

Номер патента: US20240321966A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-26.

Epitaxial Source/Drain Structure and Method of Forming Same

Номер патента: US20240363753A1. Автор: Hsueh-Chang Sung,Kun-Mu Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Epitaxial source/drain structure with high dopant concentration

Номер патента: US20230387204A1. Автор: Yee-Chia Yeo,Ming-Hua Yu,Chih Sheng Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Semiconductor device having epitaxy source/drain regions

Номер патента: US20240203987A1. Автор: Ming-Hua Yu,Kun-Mu Li,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-20.

FinFET with merged, epitaxial source/drain regions

Номер патента: US09882054B2. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-30.

Embedded stressors in epitaxy source/drain regions

Номер патента: US20230377979A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Semiconductor device having epitaxy source/drain regions

Номер патента: US11916071B2. Автор: Ming-Hua Yu,Kun-Mu Li,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-27.

Semiconductor device having epitaxy source/drain regions

Номер патента: US20220181320A1. Автор: Ming-Hua Yu,Kun-Mu Li,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-09.

Symmetric device with contacts self aligned to gate

Номер патента: TW538502B. Автор: James A Slinkman,Randy W Mann,Juan A Chediak. Владелец: Ibm. Дата публикации: 2003-06-21.

Systems and methods for deposition of molybdenum for source/drain contacts

Номер патента: US20230298902A1. Автор: Dong Li,Petri Raisanen,Eric James Shero,Jiyeon Kim. Владелец: ASM IP Holding BV. Дата публикации: 2023-09-21.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09865733B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2018-01-09.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09673326B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2017-06-06.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09502529B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2016-11-22.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09419096B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2016-08-16.

THIN FILM TRANSISTORS WITH EPITAXIAL SOURCE/DRAIN AND DRAIN FIELD RELIEF

Номер патента: US20200006555A1. Автор: Shahidi Ghavam G.,Hekmatshoartabari Bahman,Hopstaken Marinus P.J.. Владелец: . Дата публикации: 2020-01-02.

THIN FILM TRANSISTORS WITH EPITAXIAL SOURCE/DRAIN AND DRAIN FIELD RELIEF

Номер патента: US20190172947A1. Автор: Shahidi Ghavam G.,Hekmatshoartabari Bahman,Hopstaken Marinus P.J.. Владелец: . Дата публикации: 2019-06-06.

Methods of Forming a PMOS Device with In Situ Doped Epitaxial Source/Drain Regions

Номер патента: US20130029463A1. Автор: Ralf Illgen,Stefan Flachowsky,Ina Ostermay. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-31.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20130240950A1. Автор: Bohr Mark T.. Владелец: . Дата публикации: 2013-09-19.

Embedded Stressors in Epitaxy Source/Drain Regions

Номер патента: US20220051945A1. Автор: MORE Shahaji B.. Владелец: . Дата публикации: 2022-02-17.

SELECTIVE CONTACT ETCH FOR UNMERGED EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20170047325A1. Автор: Reznicek Alexander,Mehta Sanjay C.. Владелец: . Дата публикации: 2017-02-16.

EPITAXIAL SOURCE/DRAIN AND METHODS OF FORMING SAME

Номер патента: US20200058560A1. Автор: Tsai Pang-Yen,OKUNO Yasutoshi,Chen Cheng-Long. Владелец: . Дата публикации: 2020-02-20.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20200058791A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2020-02-20.

SEMICONDUCTOR FIN FET DEVICE WITH EPITAXIAL SOURCE/DRAIN

Номер патента: US20170069756A1. Автор: PENG Cheng-Yi,Sheu Jyh-Cherng,CHIANG Hung-Li,Yeo Yee-Chia. Владелец: . Дата публикации: 2017-03-09.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20210074858A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2021-03-11.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20180076325A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2018-03-15.

Methods of Forming Epitaxial Source/Drain Features in Semiconductor Devices

Номер патента: US20200075724A1. Автор: WANG Mei-Yun,Yang Fu-Kai,LEE Chen-Ming,Wu I-Wen,Wang Jia-Heng. Владелец: . Дата публикации: 2020-03-05.

Epitaxial Source/Drain Structure and Method

Номер патента: US20200075725A1. Автор: WANG Peng,WANG Mei-Yun,Lu Wei-Yuan,Lin Chun-An,Yang Fu-Kai,LEE Chen-Ming,Wu I-Wen,Wang Guan-Ren. Владелец: . Дата публикации: 2020-03-05.

SEMICONDUCTOR DEVICE WITH EPITAXIAL SOURCE/DRAIN

Номер патента: US20180083109A1. Автор: Chen Yen-Ming,Yang Feng-Cheng,Lu Wei-Yuan,Wang Sheng-Chen,Yeong Sai-Hooi,KUO Chien-I,YU Chia-Ta,Su Li-Li. Владелец: . Дата публикации: 2018-03-22.

DEVICE WITH EPITAXIAL SOURCE/DRAIN REGION

Номер патента: US20220102496A1. Автор: MORE Shahaji B.. Владелец: . Дата публикации: 2022-03-31.

METHOD AND STRUCTURE FOR IMPROVING FINFET WITH EPITAXY SOURCE/DRAIN

Номер патента: US20160093614A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Yamashita Tenko. Владелец: . Дата публикации: 2016-03-31.

Epitaxy Source/Drain Regions of FinFETs and Method Forming Same

Номер патента: US20190097026A1. Автор: HSU PIN-CHENG,Shen Guan-Jie,Hung Tung-Husan. Владелец: . Дата публикации: 2019-03-28.

SEMICONDUCTOR FIN FET DEVICE WITH EPITAXIAL SOURCE/DRAIN

Номер патента: US20180102436A1. Автор: PENG Cheng-Yi,Sheu Jyh-Cherng,CHIANG Hung-Li,Yeo Yee-Chia. Владелец: . Дата публикации: 2018-04-12.

Method Semiconductor Device Fabrication with Improved Epitaxial Source/Drain Proximity Control

Номер патента: US20200098896A1. Автор: JENG Chi-Cherng,CHEN I-CHIH,Tsai Fu-Tsun,Huang Tong Jun. Владелец: . Дата публикации: 2020-03-26.

Arsenic-doped epitaxial source/drain regions for nmos

Номер патента: US20200105754A1. Автор: Anand Murthy,Ritesh JHAVERI,Nicholas G. MINUTILLO,Ryan KEECH. Владелец: Intel Corp. Дата публикации: 2020-04-02.

Epitaxial Source/Drain Structure and Method of Forming Same

Номер патента: US20200105932A1. Автор: SUNG Hsueh-Chang,Li Kun-Mu. Владелец: . Дата публикации: 2020-04-02.

SEMICONDUCTOR DEVICE HAVING EPITAXY SOURCE/DRAIN REGIONS

Номер патента: US20220181320A1. Автор: Yu Ming-Hua,Lee Yi-Jing,KWOK Tsz-Mei,Li Kun-Mu. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2022-06-09.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20160133749A1. Автор: Bohr Mark T.. Владелец: . Дата публикации: 2016-05-12.

METHOD OF FORMING A TOP EPITAXY SOURCE/DRAIN STRUCTURE FOR A VERTICAL TRANSISTOR

Номер патента: US20200135920A1. Автор: Cheng Kangguo,Mochizuki Shogo,Kong Dexin. Владелец: . Дата публикации: 2020-04-30.

FACETED EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20200144365A1. Автор: Hong Wei,McArdle Timothy J.,Holt Judson R.,Qi Yi,Zang Hui,JIANG Liu,MULFINGER George R.,SICHLER Steffen A.,AYDIN Ömür I.. Владелец: . Дата публикации: 2020-05-07.

EPITAXIAL SOURCE/DRAIN DIFFERENTIAL SPACERS

Номер патента: US20150179654A1. Автор: Mehrotra Manoj. Владелец: . Дата публикации: 2015-06-25.

Methods of Forming Epitaxial Source/Drain Feautures in Semiconductor Devices

Номер патента: US20210202699A1. Автор: Chen Yen-Ming,Yang Feng-Cheng,LEE Wei-Yang,HSU Tzu-Hsiang,Chen Ting-Yeh. Владелец: . Дата публикации: 2021-07-01.

Epitaxial source/drain structure and method of forming same

Номер патента: US20210202740A1. Автор: Hsueh-Chang Sung,Kun-Mu Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-01.

HALF BURIED nFET/pFET EPITAXY SOURCE/DRAIN STRAP

Номер патента: US20210210413A1. Автор: Doris Bruce B.,Reznicek Alexander,Xie Ruilong,Zhang Jingyun. Владелец: . Дата публикации: 2021-07-08.

Epitaxial Source/Drain Regions in FinFETs and Methods for Forming the Same

Номер патента: US20150200271A1. Автор: Lee Tung Ying,WANG Chen-Han. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2015-07-16.

SEMICONDUCTOR DEVICE WITH EPITAXIAL SOURCE/DRAIN

Номер патента: US20170194321A1. Автор: Chen Yen-Ming,Wang Sheng-Chen,Yeong Sai-Hooi,Wen Tsung-Yao. Владелец: . Дата публикации: 2017-07-06.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20160204203A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2016-07-14.

FIN SIDEWALL REMOVAL TO ENLARGE EPITAXIAL SOURCE/DRAIN VOLUME

Номер патента: US20150279975A1. Автор: HSIAO Ru-Shang,CHEN Li-Yi,Lin Chien-Hsun,Yu Sheng-Fu,Liang Yu-Chang,Chen Kuan Yu. Владелец: . Дата публикации: 2015-10-01.

VERTICAL TRANSISTOR TOP EPITAXY SOURCE/DRAIN AND CONTACT STRUCTURE

Номер патента: US20180277445A1. Автор: Reznicek Alexander,Mehta Sanjay C.,Mochizuki Shogo,Gluschenkov Oleg. Владелец: . Дата публикации: 2018-09-27.

Epitaxial Source/Drain Feature with Enlarged Lower Section Interfacing with Backside Via

Номер патента: US20210391421A1. Автор: LIN Chia-Pin,LEE Wei-Yang,Chu Feng-Ching. Владелец: . Дата публикации: 2021-12-16.

EPITAXIAL SOURCE/DRAIN DIFFERENTIAL SPACERS

Номер патента: US20160308054A1. Автор: Mehrotra Manoj. Владелец: . Дата публикации: 2016-10-20.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20150340498A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2015-11-26.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20170338347A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2017-11-23.

CONSTRAINED EPITAXIAL SOURCE/DRAIN REGIONS ON SEMICONDUCTOR-ON-INSULATOR FINFET DEVICE

Номер патента: US20150357412A1. Автор: Greene Brian J.,Kumar Arvind,Mocuta Dan M.. Владелец: . Дата публикации: 2015-12-10.

SELECTIVE CONTACT ETCH FOR UNMERGED EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20190333916A1. Автор: Reznicek Alexander,Mehta Sanjay C.. Владелец: . Дата публикации: 2019-10-31.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20200335626A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2020-10-22.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20160359042A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2016-12-08.

METHOD AND STRUCTURE FOR IMPROVING FINFET WITH EPITAXY SOURCE/DRAIN

Номер патента: US20170358643A1. Автор: Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali,Yamashita Tenko. Владелец: . Дата публикации: 2017-12-14.

TECHNIQUES FOR FORMING DUAL EPITAXIAL SOURCE/DRAIN SEMICONDUCTOR DEVICE

Номер патента: US20190393094A1. Автор: Sung Min Gyu,Prasad Rajesh. Владелец: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.. Дата публикации: 2019-12-26.

SEMICONDUCTOR DEVICE HAVING TIPLESS EPITAXIAL SOURCE/DRAIN REGIONS

Номер патента: US20220359753A1. Автор: Bohr Mark T.. Владелец: Intel Corporation. Дата публикации: 2022-11-10.

Methods of forming a PMOS device with in situ doped epitaxial source/drain regions

Номер патента: US8466018B2. Автор: Ralf Illgen,Stefan Flachowsky,Ina Ostermay. Владелец: Globalfoundries Inc. Дата публикации: 2013-06-18.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: EP2302668A2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2011-03-30.

Selective contact etch for unmerged epitaxial source/drain regions

Номер патента: US10366988B2. Автор: Alexander Reznicek,Sanjay C. Mehta. Владелец: International Business Machines Corp. Дата публикации: 2019-07-30.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: KR101389715B1. Автор: 마크 티 볼. Владелец: 인텔 코포레이션. Дата публикации: 2014-04-29.

Epitaxial source/drain transistor

Номер патента: US8039901B2. Автор: Takuji Matsumoto. Владелец: Sony Corp. Дата публикации: 2011-10-18.

Epitaxial source/drain and methods of forming same

Номер патента: US20210313236A1. Автор: Yasutoshi Okuno,Pang-Yen Tsai,Cheng-Long Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: US10490662B2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2019-11-26.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: EP2149150A4. Автор: Mark T Bohr. Владелец: Intel Corp. Дата публикации: 2012-02-22.

Symmetric device with contacts self aligned to gate

Номер патента: US6445050B1. Автор: Randy W. Mann,James A. Slinkman,Juan A. Chediak. Владелец: International Business Machines Corp. Дата публикации: 2002-09-03.

Semiconductor device having tipless epitaxial source/drain regions and manufacturing method

Номер патента: CN101681842B. Автор: M·T·博尔. Владелец: Intel Corp. Дата публикации: 2011-07-13.

Semiconductor device having tipless epitaxial source/drain regions

Номер патента: EP3151267B1. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2024-06-12.

Sacrificial fin for contact self-alignment

Номер патента: US20210328041A1. Автор: Eric Miller,Yann Mignot,Su Chen Fan,Indira Seshadri,Christopher J. Waskiewicz. Владелец: International Business Machines Corp. Дата публикации: 2021-10-21.

TRANSISTOR CONTACTS SELF-ALIGNED IN TWO DIMENSIONS

Номер патента: US20170221886A1. Автор: Bouche Guillaume,Kye Jongwook,Zeng Jia,Wei Andy Chih-Hung,Zaleski Mark A.,Neogi Tuhin Guha,STEPHENS Jason E.. Владелец: . Дата публикации: 2017-08-03.

SACRIFICIAL FIN FOR CONTACT SELF-ALIGNMENT

Номер патента: US20210328041A1. Автор: Fan Su Chen,Mignot Yann,WASKIEWICZ Christopher J.,Miller Eric,Seshadri Indira. Владелец: . Дата публикации: 2021-10-21.

Sacrificial fin for contact self-alignment

Номер патента: US11646358B2. Автор: Eric Miller,Yann Mignot,Su Chen Fan,Indira Seshadri,Christopher J. Waskiewicz. Владелец: International Business Machines Corp. Дата публикации: 2023-05-09.

Selective tungsten contact plugs above gate and source/drain contacts

Номер патента: WO2024064567A3. Автор: Haining Yang,Junjing Bao,Chih-Sung Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2024-06-06.

Selective tungsten contact plugs above gate and source/drain contacts

Номер патента: US20240096698A1. Автор: Haining Yang,Junjing Bao,Chih-Sung Yang. Владелец: Qualcomm Inc. Дата публикации: 2024-03-21.

Gate and source/drain contact structures for a semiconductor device

Номер патента: US20160268415A1. Автор: Ruilong Xie,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-15.

Selective tungsten contact plugs above gate and source/drain contacts

Номер патента: WO2024064567A2. Автор: Haining Yang,Junjing Bao,Chih-Sung Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2024-03-28.

Gate and source/drain contact structures for a semiconductor device

Номер патента: US09478662B2. Автор: Ruilong Xie,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-25.

Merged gate and source/drain contacts in a semiconductor device

Номер патента: US09960256B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-01.

III-V layers for n-type and p-type MOS source-drain contacts

Номер патента: US09705000B2. Автор: Tahir Ghani,Glenn A. Glass,Anand S. Murthy. Владелец: Intel Corp. Дата публикации: 2017-07-11.

Method for forming thickened source/drain contact regions for field effect transistors

Номер патента: US5250454A. Автор: Witold P. Maszara. Владелец: AlliedSignal Inc. Дата публикации: 1993-10-05.

Epitaxial Layers In Source/Drain Contacts And Methods Of Forming The Same

Номер патента: US20220359310A1. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Epitaxial Layers in Source/Drain Contacts and Methods of Forming the Same

Номер патента: US20200006159A1. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques

Номер патента: US09397003B1. Автор: Ruilong Xie,Hiroaki Niimi. Владелец: Globalfoundries Inc. Дата публикации: 2016-07-19.

LDMOS DEVICE WITH BODY DIFFUSION SELF-ALIGNED TO GATE

Номер патента: US20180151722A1. Автор: Edwards Henry Litzmann,Hu Binghua,Todd James Robert. Владелец: . Дата публикации: 2018-05-31.

LDMOS DEVICE WITH BODY DIFFUSION SELF-ALIGNED TO GATE

Номер патента: US20170162690A1. Автор: Edwards Henry Litzmann,Hu Binghua,Todd James Robert. Владелец: . Дата публикации: 2017-06-08.

LDMOS device with body diffusion self-aligned to gate

Номер патента: US9887288B2. Автор: Binghua Hu,Henry Litzmann Edwards,James Robert TODD. Владелец: Texas Instruments Inc. Дата публикации: 2018-02-06.

Source/Drain Contacts And Methods For Forming The Same

Номер патента: US20240021686A1. Автор: Cheng-Wei Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Forming crystalline source/drain contacts on semiconductor devices

Номер патента: WO2019066772A1. Автор: Karthik Jambunathan,Anand S. Murthy,Cory C. Bomberger,Scott J. MADDOX. Владелец: Intel Corporation. Дата публикации: 2019-04-04.

Field effect transistor with backside source/drain contact

Номер патента: US20240153990A1. Автор: Ruilong Xie,Chanro Park,Juntao Li,Min Gyu Sung,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-05-09.

Forming crystalline source/drain contacts on semiconductor devices

Номер патента: US20200365585A1. Автор: Karthik Jambunathan,Anand S. Murthy,Cory C. Bomberger,Scott J. MADDOX. Владелец: Intel Corp. Дата публикации: 2020-11-19.

SEMICONDUCTOR DEVICE WITH RECESSED SOURCE/DRAIN CONTACTS AND A GATE CONTACT POSITIONED ABOVE THE ACTIVE REGION

Номер патента: US20190088742A1. Автор: Chi Min-Hwa,Zang Hui. Владелец: . Дата публикации: 2019-03-21.

FIELD EFFECT TRANSISTOR DEVICES HAVING GATE CONTACTS FORMED IN ACTIVE REGION OVERLAPPING SOURCE/DRAIN CONTACTS

Номер патента: US20190157404A1. Автор: Cheng Kangguo,Xu Peng. Владелец: . Дата публикации: 2019-05-23.

SEMICONDUCTOR DEVICE WITH RECESSED SOURCE/DRAIN CONTACTS AND A GATE CONTACT POSITIONED ABOVE THE ACTIVE REGION

Номер патента: US20190296108A1. Автор: Chi Min-Hwa,Zang Hui. Владелец: . Дата публикации: 2019-09-26.

GATE CONTACT OVER ACTIVE REGION WITH SELF-ALIGNED SOURCE/DRAIN CONTACT

Номер патента: US20200321244A1. Автор: Cheng Kangguo,Xie Ruilong,Fan Su Chen,CHI Cheng. Владелец: . Дата публикации: 2020-10-08.

METHOD OF FORMING A GATE CONTACT STRUCTURE AND SOURCE/DRAIN CONTACT STRUCTURE FOR A SEMICONDUCTOR DEVICE

Номер патента: US20170373161A1. Автор: Schroeder Uwe Paul. Владелец: . Дата публикации: 2017-12-28.

Method of forming source/drain contact

Номер патента: US9799567B2. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Method of Forming Source/Drain Contact

Номер патента: US20160118303A1. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-04-28.

SOURCE/DRAIN CONTACT WITH LOW-K CONTACT ETCH STOP LAYER AND METHOD OF FABRICATING THEREOF

Номер патента: US20220285513A1. Автор: Lin Da-Wen,LIN Chia-Pin,LEE Wei-Yang,Chen Ting-Yeh. Владелец: . Дата публикации: 2022-09-08.

Method for forming source/drain contacts

Номер патента: US12009363B2. Автор: Mei-Yun Wang,Fu-Kai Yang,Shao-Ming Koh,Chen-Ming Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-11.

Source/Drain Contact Structure

Номер патента: US20210366907A1. Автор: Hou-Yu Chen,Yi-Bo Liao,Chun-Fu CHENG,Yu-Xuan Huang,Wei Ju Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-11-25.

FinFETs with low source/drain contact resistance

Номер патента: US09653461B2. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-16.

Drift region implant self-aligned to field relief oxide with sidewall dielectric

Номер патента: US09583612B1. Автор: Binghua Hu,Henry Litzmann Edwards,James Robert TODD. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-28.

System and Method for Source/Drain Contact Processing

Номер патента: US20120211807A1. Автор: Chen-Hua Yu,Chen-Nan Yeh,Cheng-Hung Chang,Yu-Rung Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-08-23.

Transistor with counter-electrode connection amalgamated with the source/drain contact

Номер патента: US20130193494A1. Автор: Qing Liu,Maud Vinet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2013-08-01.

SOURCE/DRAIN CONTACTS FOR NON-PLANAR TRANSISTORS

Номер патента: US20130256767A1. Автор: Pradhan Sameer S.,Joshi Sabhash M.,Chun Jin-Sung. Владелец: . Дата публикации: 2013-10-03.

SEMICONDUCTOR DEVICES WITH SELF-ALIGNED SOURCE DRAIN CONTACTS AND METHODS FOR MAKING THE SAME

Номер патента: US20130270648A1. Автор: MANABE Kenzo. Владелец: Renesas Eletronics Corporation. Дата публикации: 2013-10-17.

III-V LAYERS FOR N-TYPE AND P-TYPE MOS SOURCE-DRAIN CONTACTS

Номер патента: US20130285155A1. Автор: Ghani Tahir,Glass Glenn A.,Murthy Anand S.. Владелец: . Дата публикации: 2013-10-31.

SEMICONDUCTOR DEVICES WITH SELF-ALIGNED SOURCE DRAIN CONTACTS AND METHODS FOR MAKING THE SAME

Номер патента: US20130307082A1. Автор: MANABE Kenzo. Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2013-11-21.

Epitaxial Layers in Source/Drain Contacts and Methods of Forming the Same

Номер патента: US20200006159A1. Автор: Ding-Kang SHIH,Pang-Yen Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Source/Drain Contact Structure

Номер патента: US20220028983A1. Автор: WANG Mei-Yun,Yang Fu-Kai,LEE Chen-Ming,Fang Ting,Cai Chung-Hao,Lin Ruei-Ping,Yao Jason. Владелец: . Дата публикации: 2022-01-27.

TECHNIQUES FOR INTEGRATION OF GE-RICH P-MOS SOURCE/DRAIN CONTACTS

Номер патента: US20170012124A1. Автор: Ghani Tahir,Glass Glenn A.,Murthy Anand S.,Mistkawi Nabil G.,PANG YING. Владелец: Intel Corporation. Дата публикации: 2017-01-12.

SILICIDE FORMATION FOR SOURCE/DRAIN CONTACT IN A VERTICAL TRANSPORT FIELD-EFFECT TRANSISTOR

Номер патента: US20210013108A1. Автор: Xie Ruilong,Wu Heng,Fan Su Chen,Huang Huai. Владелец: . Дата публикации: 2021-01-14.

III-V LAYERS FOR N-TYPE AND P-TYPE MOS SOURCE-DRAIN CONTACTS

Номер патента: US20160027781A1. Автор: Ghani Tahir,Glass Glenn A.,Murthy Anand S.. Владелец: Intel Corporation. Дата публикации: 2016-01-28.

METAL OXIDE TFT WITH IMPROVED SOURCE/DRAIN CONTACTS AND RELIABILITY

Номер патента: US20170033227A1. Автор: Yu Gang,Xiao Tian,Shieh Chan-Long,Foong Fatt. Владелец: . Дата публикации: 2017-02-02.

Method for Forming Source/Drain Contacts Utilizing an Inhibitor

Номер патента: US20220052167A1. Автор: Chang Chia-Hao,Wang Chih-hao,Lin Yu-Ming,Chuang Cheng-Chi,Yu Li-Zhen,Huang Lin-Yu. Владелец: . Дата публикации: 2022-02-17.

Source/Drain Contact Spacers and Methods of Forming Same

Номер патента: US20200035549A1. Автор: Xusheng Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

METAL OXIDE TFT WITH IMPROVED SOURCE/DRAIN CONTACTS AND RELIABILITY

Номер патента: US20160056297A1. Автор: Yu Gang,Xiao Tian,Shieh Chan-Long,Foong Fatt. Владелец: . Дата публикации: 2016-02-25.

Method of Forming Source/Drain Contact

Номер патента: US20180061715A1. Автор: Hsieh Hung-Chang,LIN Yu-Hsien,CHEN Jhun Hua,Kuo Ming-Jhih. Владелец: . Дата публикации: 2018-03-01.

MASKLESS METHOD TO REDUCE SOURCE-DRAIN CONTACT RESISTANCE IN CMOS DEVICES

Номер патента: US20180061956A1. Автор: Lavoie Christian,Jagannathan Hemanth,Adusumilli Praneet. Владелец: . Дата публикации: 2018-03-01.

Methods of Forming Source/Drain Contacts in Field-Effect Transistors

Номер патента: US20200058744A1. Автор: Chang Chia-Hao,Wang Chih-hao,Lin Yu-Ming,Wang Sheng-Tsung. Владелец: . Дата публикации: 2020-02-20.

Method for Source/Drain Contact Formation in Semiconductor Devices

Номер патента: US20190067130A1. Автор: WANG Mei-Yun,Yang Fu-Kai,LEE Chen-Ming,Wu I-Wen,Koh Shao-Ming,Wang Jia-Heng. Владелец: . Дата публикации: 2019-02-28.

LOW RESISTANCE SOURCE-DRAIN CONTACTS USING HIGH TEMPERATURE SILICIDES

Номер патента: US20180068857A1. Автор: Lavoie Christian,Ozcan Ahmet S.,Jagannathan Hemanth,Adusumilli Praneet. Владелец: . Дата публикации: 2018-03-08.

LOW RESISTANCE SOURCE-DRAIN CONTACTS USING HIGH TEMPERATURE SILICIDES

Номер патента: US20180068903A1. Автор: Lavoie Christian,Ozcan Ahmet S.,Jagannathan Hemanth,Adusumilli Praneet. Владелец: . Дата публикации: 2018-03-08.

VERTICAL FINFET WITH IMPROVED TOP SOURCE/DRAIN CONTACT

Номер патента: US20190067474A1. Автор: WONG Chun Yu,Zang Hui. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2019-02-28.

Methods of Forming Source/Drain Contacts in Field-Effect Transistors

Номер патента: US20210074819A1. Автор: Chang Chia-Hao,Wang Chih-hao,Lin Yu-Ming,Wang Sheng-Tsung. Владелец: . Дата публикации: 2021-03-11.

METAL OXIDE TFT WITH IMPROVED SOURCE/DRAIN CONTACTS

Номер патента: US20140151694A1. Автор: Yu Gang,Foong Fatt,Shieh Chan- Long. Владелец: . Дата публикации: 2014-06-05.

METHODS OF FORMING AIR GAPS BETWEEN SOURCE/DRAIN CONTACTS AND THE RESULTING DEVICES

Номер патента: US20200075715A1. Автор: Pandey Shesh Mani,Xie Ruilong,Zang Hui,Kamineni Vimal. Владелец: . Дата публикации: 2020-03-05.

NANOSHEET FET INCLUDING ALL-AROUND SOURCE/DRAIN CONTACT

Номер патента: US20200075772A1. Автор: Xu Peng,Zhang Chen,Yeung Chun Wing. Владелец: . Дата публикации: 2020-03-05.

SELF-ALIGNED SOURCE/DRAIN CONTACT FOR VERTICAL FIELD EFFECT TRANSISTOR

Номер патента: US20200075775A1. Автор: Cheng Kangguo,XU Wenyu,Zhang Chen,Miao Xin. Владелец: . Дата публикации: 2020-03-05.

MASKLESS METHOD TO REDUCE SOURCE-DRAIN CONTACT RESISTANCE IN CMOS DEVICES

Номер патента: US20180083114A1. Автор: Lavoie Christian,Jagannathan Hemanth,Adusumilli Praneet. Владелец: . Дата публикации: 2018-03-22.

Method for Source/Drain Contact Formation in Semiconductor Devices

Номер патента: US20200083118A1. Автор: WANG Mei-Yun,Yang Fu-Kai,LEE Chen-Ming,Wu I-Wen,Koh Shao-Ming,Wang Jia-Heng. Владелец: . Дата публикации: 2020-03-12.

Method for Source/Drain Contact Formation in Semiconductor Devices

Номер патента: US20200083119A1. Автор: WANG Mei-Yun,Yang Fu-Kai,LEE Chen-Ming,Wu I-Wen,Koh Shao-Ming,Wang Jia-Heng. Владелец: . Дата публикации: 2020-03-12.

Source/Drain Contact Having a Protruding Segment

Номер патента: US20210098468A1. Автор: Wang Ping-Wei,Yang Fu-Kai,CHEN Jui-Lin,Chang Chao-Yuan,Fang Ting,Wu I-Wen,Lin Shih-Hao. Владелец: . Дата публикации: 2021-04-01.

Source/Drain Contacts for Semiconductor Devices and Methods of Forming

Номер патента: US20210098583A1. Автор: Tsai Ching-Wei,Cheng Kuan-Lun,Chung Cheng-Ting,HUANG Yu-Xuan,Liao Yi-Bo. Владелец: . Дата публикации: 2021-04-01.

SOURCE/DRAIN CONTACTS FOR NON-PLANAR TRANSISTORS

Номер патента: US20160111532A1. Автор: Pradhan Sameer S.,Chun Jin-Sung,JOSHI Subhash M.. Владелец: Intel Corporation. Дата публикации: 2016-04-21.

METHOD OF MAKING SOURCE/DRAIN CONTACTS BY SPUTTERING A DOPED TARGET

Номер патента: US20150118833A1. Автор: LEI JIANXIN,RAMALINGAM Jothilingam,NI CHI-NUNG. Владелец: . Дата публикации: 2015-04-30.

Method of Forming Source/Drain Contact

Номер патента: US20160118303A1. Автор: Hsieh Hung-Chang,LIN Yu-Hsien,CHEN Jhun Hua,Kuo Ming-Jhih. Владелец: . Дата публикации: 2016-04-28.

Source/Drain Contact Having a Protruding Segment

Номер патента: US20220181332A1. Автор: Wang Ping-Wei,Yang Fu-Kai,CHEN Jui-Lin,Chang Chao-Yuan,Fang Ting,Wu I-Wen,Lin Shih-Hao. Владелец: . Дата публикации: 2022-06-09.

TRANSISTOR SOURCE/DRAIN CONTACTS

Номер патента: US20220181460A1. Автор: Sell Bernhard,Baran Andre,Wang Pei-hua,Ku Chieh-jen,Souther Kendra. Владелец: Intel Corporation. Дата публикации: 2022-06-09.

SELF-ALIGNED SOURCE/DRAIN CONTACTS

Номер патента: US20170117279A1. Автор: Cheng Kangguo,Alptekin Emre,Ponoth Shom S.,Pranatharthiharan Balasubramanian,Adusumilli Praneet. Владелец: . Дата публикации: 2017-04-27.

Method of Forming Source/Drain Contact

Номер патента: US20190115262A1. Автор: Hsieh Hung-Chang,LIN Yu-Hsien,CHEN Jhun Hua,Kuo Ming-Jhih. Владелец: . Дата публикации: 2019-04-18.

FINFET HAVING UPPER SPACERS ADJACENT GATE AND SOURCE/DRAIN CONTACTS

Номер патента: US20200119000A1. Автор: Xu Guowei,WANG Haiting,Zang Hui,Beasor Scott. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2020-04-16.

Protective Liner for Source/Drain Contact to Prevent Electrical Bridging While Minimizing Resistance

Номер патента: US20220278211A1. Автор: CHEN Jyh-Huei,Tsai Kuo-Chiang,Lin Hsin-Huang. Владелец: . Дата публикации: 2022-09-01.

Method for Forming Source/Drain Contacts

Номер патента: US20190131411A1. Автор: Chew Soon Aik,Demuynck Steven. Владелец: . Дата публикации: 2019-05-02.

FinFETs With Low Source/Drain Contact Resistance

Номер патента: US20210167192A1. Автор: Lee Tung Ying,HUANG Yu-Lien. Владелец: . Дата публикации: 2021-06-03.

LOW RESISTANCE SOURCE-DRAIN CONTACTS USING HIGH TEMPERATURE SILICIDES

Номер патента: US20180138093A1. Автор: Lavoie Christian,Ozcan Ahmet S.,Jagannathan Hemanth,Adusumilli Praneet. Владелец: . Дата публикации: 2018-05-17.

SOURCE/DRAIN CONTACTS FOR NON-PLANAR TRANSISTORS

Номер патента: US20150155385A1. Автор: Pradhan Sameer S.,Chun Jin-Sung,JOSHI Subhash M.. Владелец: Intel Corporation. Дата публикации: 2015-06-04.

EPITAXIAL STRUCTURE FOR SOURCE/DRAIN CONTACT

Номер патента: US20220293760A1. Автор: Tsai Pang-Yen,WANG Sung-Li,Shih Ding-Kang,HUNG Tsungyu,CHU Chia-Hung. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2022-09-15.

FinFETs with Low Source/Drain Contact Resistance

Номер патента: US20190148525A1. Автор: Lee Tung Ying,HUANG Yu-Lien. Владелец: . Дата публикации: 2019-05-16.

Method for Forming Source/Drain Contacts

Номер патента: US20190157269A1. Автор: WANG Mei-Yun,Yang Fu-Kai,LEE Chen-Ming,Koh Shao-Ming. Владелец: . Дата публикации: 2019-05-23.

NON-PLANAR FIELD EFFECT TRANSISTOR DEVICES WITH WRAP-AROUND SOURCE/DRAIN CONTACTS

Номер патента: US20190165118A1. Автор: Leobandung Effendi. Владелец: . Дата публикации: 2019-05-30.

Method for Forming Source/Drain Contacts

Номер патента: US20190165124A1. Автор: Yu Kuo-Feng,PENG Cheng-Yi,TSAI Chun Hsiung,Chang Shih-Chieh,MORE Shahaji B.. Владелец: . Дата публикации: 2019-05-30.

DEVICES FORMED BY PERFORMING A COMMON ETCH PATTERNING PROCESS TO FORM GATE AND SOURCE/DRAIN CONTACT OPENINGS

Номер патента: US20160190263A1. Автор: Taylor William J.,Xie Ruilong,Sung Min Gyu. Владелец: . Дата публикации: 2016-06-30.

VERTICAL FIELD EFFECT TRANSISTOR WITH LOW-RESISTANCE BOTTOM SOURCE-DRAIN CONTACT

Номер патента: US20200176611A1. Автор: Reznicek Alexander,OK Injo,Lee Choonghyun,Seo Soon-Cheon. Владелец: . Дата публикации: 2020-06-04.

TRANSISTOR HAVING CONFINED SOURCE/DRAIN REGIONS WITH WRAP-AROUND SOURCE/DRAIN CONTACTS

Номер патента: US20210226032A1. Автор: Cheng Kangguo,Reznicek Alexander,Xie Ruilong,Bergendahl Marc A.. Владелец: . Дата публикации: 2021-07-22.

Self-aligned source/drain contact in replacement metal gate process

Номер патента: US20170207118A1. Автор: Min-Hwa Chi,Yue Hu,Wen Pin Peng. Владелец: Globalfoundries Inc. Дата публикации: 2017-07-20.

Low Resistance Source Drain Contact Formation

Номер патента: US20170213889A1. Автор: Yeh Chun-chen,NIIMI Hiroaki,Mochizuki Shogo,Liu Zuoguang,Gluschenkov Oleg. Владелец: . Дата публикации: 2017-07-27.

Source/drain contact depth control

Номер патента: US20200203480A1. Автор: Brian J. Greene,Lin Hu,Kai Zhao,Veeraraghavan S. Basker,Daniel Jaeger,Christopher Nassar,Keith Tabakman. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-25.

METHODS OF FORMING A GATE-TO-SOURCE/DRAIN CONTACT STRUCTURE

Номер патента: US20190214387A1. Автор: Mulfinger George,McArdle Timothy J.,Xie Ruilong,Holt Judson R.,Merbeth Thomas,Aydin Ömür. Владелец: . Дата публикации: 2019-07-11.

NANOSHEET FET INCLUDING ALL-AROUND SOURCE/DRAIN CONTACT

Номер патента: US20190214502A1. Автор: Xu Peng,Zhang Chen,Yeung Chun Wing. Владелец: . Дата публикации: 2019-07-11.

SOURCE/DRAIN CONTACTS FOR NON-PLANAR TRANSISTORS

Номер патента: US20190221662A1. Автор: Pradhan Sameer S.,Chun Jin-Sung,JOSHI Subhash M.. Владелец: Intel Corporation. Дата публикации: 2019-07-18.

MERGED GATE AND SOURCE/DRAIN CONTACTS IN A SEMICONDUCTOR DEVICE

Номер патента: US20180233585A1. Автор: Bouche Guillaume,Wei Andy Chih-Hung. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-08-16.

Low Resistance Source Drain Contact Formation

Номер патента: US20180240875A1. Автор: Yeh Chun-chen,NIIMI Hiroaki,Mochizuki Shogo,Liu Zuoguang,Gluschenkov Oleg. Владелец: . Дата публикации: 2018-08-23.

Finfets with Low Source/Drain Contact Resistance

Номер патента: US20170250266A1. Автор: Lee Tung Ying,HUANG Yu-Lien. Владелец: . Дата публикации: 2017-08-31.

GATE AND SOURCE/DRAIN CONTACT STRUCTURES FOR A SEMICONDUCTOR DEVICE

Номер патента: US20160268415A1. Автор: Labonte Andre,Xie Ruilong. Владелец: . Дата публикации: 2016-09-15.

METHODS OF FORMING DOPED SOURCE/DRAIN CONTACTS AND STRUCTURES FORMED THEREBY

Номер патента: US20180261696A1. Автор: Murthy Anand,Kim Seiyon,JAMBUNATHAN KARTHIK,GLASS Glenn,Mohapatra Chandra. Владелец: . Дата публикации: 2018-09-13.

FINFETS WITH LOW SOURCE/DRAIN CONTACT RESISTANCE

Номер патента: US20150279840A1. Автор: Lee Tung Ying,HUANG Yu-Lien. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2015-10-01.

Forming Highly Conductive Source/Drain Contacts in III-Nitride Transistors

Номер патента: US20160276461A1. Автор: Briere Michael A.. Владелец: . Дата публикации: 2016-09-22.

WRAPPED SOURCE/DRAIN CONTACTS WITH ENHANCED AREA

Номер патента: US20180269324A1. Автор: Cheng Kangguo,Xu Peng,Wu Heng,Liu Zuoguang. Владелец: . Дата публикации: 2018-09-20.

WRAPPED SOURCE/DRAIN CONTACTS WITH ENHANCED AREA

Номер патента: US20180269325A1. Автор: Cheng Kangguo,Xu Peng,Wu Heng,Liu Zuoguang. Владелец: . Дата публикации: 2018-09-20.

BURIED SOURCE-DRAIN CONTACT FOR INTEGRATED CIRCUIT TRANSISTOR DEVICES AND METHOD OF MAKING SAME

Номер патента: US20160284599A1. Автор: Liu Qing,Taylor William J.,Yeh Chun-chen,Xie Ruilong,Cai Xiuyu. Владелец: . Дата публикации: 2016-09-29.

Source/Drain Contact Structure

Номер патента: US20210366907A1. Автор: CHEN Hou-Yu,HUANG Yu-Xuan,Cheng Chun-Fu,Lee Wei Ju,Liao Yi-Bo. Владелец: . Дата публикации: 2021-11-25.

NON-PLANAR FIELD EFFECT TRANSISTOR DEVICES WITH WRAP-AROUND SOURCE/DRAIN CONTACTS

Номер патента: US20190273143A1. Автор: Leobandung Effendi. Владелец: . Дата публикации: 2019-09-05.

FABRICATING RAISED SOURCE DRAIN CONTACTS OF A CMOS STRUCTURE

Номер патента: US20180294338A1. Автор: Czornomaz Lukas,Deshpande Veeresh V.,DJARA Vladimir. Владелец: . Дата публикации: 2018-10-11.

TRANSISTOR HAVING WRAP-AROUND SOURCE/DRAIN CONTACTS

Номер патента: US20200287039A1. Автор: Cheng Kangguo,Xu Peng,Li Juntao,Bi Zhenxing. Владелец: . Дата публикации: 2020-09-10.

SPACER TO PREVENT SOURCE-DRAIN CONTACT ENCROACHMENT

Номер патента: US20150318204A1. Автор: HU Yue,PENG Wen-Pin,Lee Yong M.. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-11-05.

FIELD EFFECT DEVICE WITH REDUCED CAPACITANCE AND RESISTANCE IN SOURCE/DRAIN CONTACTS AT REDUCED GATE PITCH

Номер патента: US20180308750A1. Автор: Cheng Kangguo,Xu Peng,Liu Chi-chun. Владелец: . Дата публикации: 2018-10-25.

III-V LAYERS FOR N-TYPE AND P-TYPE MOS SOURCE-DRAIN CONTACTS

Номер патента: US20160329431A1. Автор: Ghani Tahir,Glass Glenn A.,Murthy Anand S.. Владелец: Intel Corporation. Дата публикации: 2016-11-10.

SOURCE/DRAIN CONTACTS FOR NON-PLANAR TRANSISTORS

Номер патента: US20170323966A1. Автор: Pradhan Sameer S.,Chun Jin-Sung,JOSHI Subhash M.. Владелец: Intel Corporation. Дата публикации: 2017-11-09.

FIELD EFFECT DEVICE WITH REDUCED CAPACITANCE AND RESISTANCE IN SOURCE/DRAIN CONTACTS AT REDUCED GATE PITCH

Номер патента: US20190311949A1. Автор: Cheng Kangguo,Xu Peng,Liu Chi-chun. Владелец: . Дата публикации: 2019-10-10.

MERGED GATE AND SOURCE/DRAIN CONTACTS IN A SEMICONDUCTOR DEVICE

Номер патента: US20150340467A1. Автор: Bouche Guillaume,Wei Andy Chih-Hung. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-11-26.

FinFETs with Low Source/Drain Contact Resistance

Номер патента: US20200328291A1. Автор: Lee Tung Ying,HUANG Yu-Lien. Владелец: . Дата публикации: 2020-10-15.

PERFORMING CONCURRENT DIFFUSION BREAK, GATE AND SOURCE/DRAIN CONTACT CUT ETCH PROCESSES

Номер патента: US20190326177A1. Автор: Xie Ruilong,Yu Hong,Economikos Laertis,WANG Haiting,Zang Hui. Владелец: . Дата публикации: 2019-10-24.

SELF-ALIGNED SOURCE/DRAIN CONTACTS

Номер патента: US20160358916A1. Автор: Cheng Kangguo,Alptekin Emre,Ponoth Shom S.,Pranatharthiharan Balasubramanian,Adusumilli Praneet. Владелец: . Дата публикации: 2016-12-08.

FORMING GATE AND SOURCE/DRAIN CONTACT OPENINGS BY PERFORMING A COMMON ETCH PATTERNING PROCESS

Номер патента: US20150364378A1. Автор: JR. William J.,Taylor,Xie Ruilong,Sung Min Gyu. Владелец: . Дата публикации: 2015-12-17.

FABRICATING RAISED SOURCE DRAIN CONTACTS OF A CMOS STRUCTURE

Номер патента: US20180350925A1. Автор: Czornomaz Lukas,Deshpande Veeresh V.,DJARA Vladimir. Владелец: . Дата публикации: 2018-12-06.

WRAPPED SOURCE/DRAIN CONTACTS WITH ENHANCED AREA

Номер патента: US20180350991A1. Автор: Cheng Kangguo,Xu Peng,Wu Heng,Liu Zuoguang. Владелец: . Дата публикации: 2018-12-06.

TITANIUM SILICIDE FORMATION IN A NARROW SOURCE-DRAIN CONTACT

Номер патента: US20150380304A1. Автор: NIIMI Hiroaki,Sung Min Gyu,LIM Kwanyong. Владелец: . Дата публикации: 2015-12-31.

TITANIUM SILICIDE FORMATION IN A NARROW SOURCE-DRAIN CONTACT

Номер патента: US20170372949A1. Автор: NIIMI Hiroaki,Sung Min Gyu,LIM Kwanyong. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2017-12-28.

Source/drain contacts for non-planar transistors

Номер патента: US20200357916A1. Автор: Sameer S. Pradhan,Subhash M. Joshi,Jin-Sung Chun. Владелец: Intel Corp. Дата публикации: 2020-11-12.

FORMING CRYSTALLINE SOURCE/DRAIN CONTACTS ON SEMICONDUCTOR DEVICES

Номер патента: US20200365585A1. Автор: Murthy Anand S.,JAMBUNATHAN KARTHIK,Bomberger Cory C.,MADDOX SCOTT J.. Владелец: Intel Corporation. Дата публикации: 2020-11-19.

Epitaxial Layers In Source/Drain Contacts And Methods Of Forming The Same

Номер патента: US20220359310A1. Автор: Tsai Pang-Yen,Shih Ding-Kang. Владелец: . Дата публикации: 2022-11-10.

BACKSIDE SOURCE/DRAIN CONTACTS AND METHODS OF FORMING THE SAME

Номер патента: US20220359679A1. Автор: Tsai Ching-Wei,LEE Wei-Yang,Yeo Yee-Chia,Cheng Kuan-Lun,HUANG Yu-Xuan,KUO Chien-I,Lu Wei Hao,Su Li-Li. Владелец: . Дата публикации: 2022-11-10.

Source/drain contacts for non-planar transistors

Номер патента: US10283640B2. Автор: Sameer S. Pradhan,Subhash M. Joshi,Jin-Sung Chun. Владелец: Intel Corp. Дата публикации: 2019-05-07.

Source/drain contacts for non-planar transistors

Номер патента: US20230028568A1. Автор: Sameer S. Pradhan,Subhash M. Joshi,Jin-Sung Chun. Владелец: Intel Corp. Дата публикации: 2023-01-26.

Forming highly conductive source/drain contacts in III-Nitride transistors

Номер патента: US10074729B2. Автор: Michael A. Briere. Владелец: Infineon Technologies North America Corp. Дата публикации: 2018-09-11.

Fabricating raised source drain contacts of a CMOS structure

Номер патента: US9917164B1. Автор: Lukas Czornomaz,Veeresh V. Deshpande,Vladimir DJARA. Владелец: International Business Machines Corp. Дата публикации: 2018-03-13.

Vertical source/drain contact semiconductor

Номер патента: US20020151108A1. Автор: Shyue Quek,Ting Ang,Sang Loong,Puay Ong. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2002-10-17.

Wrapped source/drain contacts with enhanced area

Номер патента: US10084094B1. Автор: Peng Xu,Kangguo Cheng,Heng Wu,Zuoguang Liu. Владелец: International Business Machines Corp. Дата публикации: 2018-09-25.

Source/drain contacts for non-planar transistors

Номер патента: US8981435B2. Автор: Sameer S. Pradhan,Subhash M. Joshi,Jin-Sung Chun. Владелец: Intel Corp. Дата публикации: 2015-03-17.

The method for being used to form source/drain contact

Номер патента: CN109755120A. Автор: 周顺益,S·德姆恩克. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2019-05-14.

HEMT with epitaxial narrow bandgap source/drain contacts isolated from wide bandgap layer

Номер патента: US4714948A. Автор: Takashi Mimura,Satoshi Hiyamizu. Владелец: Fujitsu Ltd. Дата публикации: 1987-12-22.

Use of band edge gate metals as source drain contacts

Номер патента: US8741753B2. Автор: Bin Yang,Zhen Zhang,Christian Lavoie,Kisik Choi,Paul M. Solomon. Владелец: International Business Machines Corp. Дата публикации: 2014-06-03.

Vertical source/drain contact semiconductor

Номер патента: US20030006462A1. Автор: Shyue Quek,Ting Ang,Sang Loong,Puay Ong. Владелец: Individual. Дата публикации: 2003-01-09.

Method for Forming Source/Drain Contacts

Номер патента: US20210313324A1. Автор: Mei-Yun Wang,Fu-Kai Yang,Shao-Ming Koh,Chen-Ming Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Source/drain contact depth control

Номер патента: US20200203480A1. Автор: Brian J. Greene,Lin Hu,Kai Zhao,Veeraraghavan S. Basker,Daniel Jaeger,Christopher Nassar,Keith Tabakman. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-25.

Integrated circuit structure including multi-length source/drain contacts

Номер патента: US20230378177A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

FinFETs with low source/drain contact resistance

Номер патента: US10714597B2. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-07-14.

FinFETs with low source/drain contact resistance

Номер патента: US11271095B2. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-08.

FinFETs with low source/drain contact resistance

Номер патента: US11695061B2. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-07-04.

Integrated circuit structure including multi-length source/drain contacts

Номер патента: US11791337B2. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-17.

Self-aligned silicide gate for discrete shielded-gate trench power mosfet

Номер патента: US20240063305A1. Автор: Zhenyin Yang. Владелец: Analog Devices Inc. Дата публикации: 2024-02-22.

Single and double gate field effect transistors with sidewall source-drain contacts

Номер патента: TW372357B. Автор: Hon-Sum Philip Wong,Paul Michael Solomon. Владелец: Ibm. Дата публикации: 1999-10-21.

Self-aligned silicide gate for discrete shielded-gate trench power mosfet

Номер патента: EP4325583A1. Автор: Zhenyin Yang. Владелец: Analog Devices Inc. Дата публикации: 2024-02-21.

Semiconductor device with source/drain contact

Номер патента: US20230335643A1. Автор: Kuo-Hua Pan,Min-Yann Hsieh,Wen-Che Tsai,Hua-Feng Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-19.

Raised silicide source/drain MOS transistors having enlarged source/drain contact regions and method

Номер патента: TW480604B. Автор: Sheng Teng Hsu,Keizo Sakiyama. Владелец: Sharp Kk. Дата публикации: 2002-03-21.

FinFETs With Low Source/Drain Contact Resistance

Номер патента: US20230282733A1. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

FinFETs with Low Source/Drain Contact Resistance

Номер патента: US20190148525A1. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-16.

FinFETs With Low Source/Drain Contact Resistance

Номер патента: US20210167192A1. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-03.

FinFETs with Low Source/Drain Contact Resistance

Номер патента: US20200328291A1. Автор: Yu-Lien Huang,Tung Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-10-15.

Transistor design self-aligned to contact

Номер патента: US20070059908A1. Автор: Andrew Marshall,Tito Gelsomini,Harvey Davis. Владелец: Texas Instruments Inc. Дата публикации: 2007-03-15.

DRIFT REGION IMPLANT SELF-ALIGNED TO FIELD RELIEF OXIDE WITH SIDEWALL DIELECTRIC

Номер патента: US20200083336A1. Автор: Edwards Henry Litzmann,Hu Binghua,Todd James Robert. Владелец: . Дата публикации: 2020-03-12.

Drift region implant self-aligned to field relief oxide with sidewall dielectric

Номер патента: US20170213893A1. Автор: Binghua Hu,Henry Litzmann Edwards,James Robert TODD. Владелец: Texas Instruments Inc. Дата публикации: 2017-07-27.

DRIFT REGION IMPLANT SELF-ALIGNED TO FIELD RELIEF OXIDE WITH SIDEWALL DIELECTRIC

Номер патента: US20170213895A1. Автор: Edwards Henry Litzmann,Hu Binghua,Todd James Robert. Владелец: . Дата публикации: 2017-07-27.

MAGNETIC RANDOM ACCESS MEMORY BOTTOM ELECTRODE SELF-ALIGNED TO UNDERLYING INTERCONNECT STRUCTURES

Номер патента: US20200212292A1. Автор: Cheng Kangguo. Владелец: . Дата публикации: 2020-07-02.

Double-Resurf LDMOS With Drift And PSURF Implants Self-Aligned To A Stacked Gate "BUMP" Structure

Номер патента: US20150279969A1. Автор: Levy Sagy,Levin Sharon,Berkovitch Noel. Владелец: . Дата публикации: 2015-10-01.

Thin film transistor self-aligned to light-shield layer

Номер патента: CN1462473A. Автор: T·于卡瓦. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2003-12-17.

Drift region implant self-aligned to field relief oxide with sidewall dielectric

Номер патента: EP3430647A1. Автор: Binghua Hu,Henry Litzmann Edwards,James Robert TODD. Владелец: Texas Instruments Inc. Дата публикации: 2019-01-23.

DOUBLE-RESURF LDMOS WITH DRIFT AND PSURF IMPLANTS SELF-ALIGNED TO A STACKED GATE "BUMP" STRUCTURE

Номер патента: US20160372578A1. Автор: Levy Sagy,Levin Sharon,Berkovitch Noel. Владелец: . Дата публикации: 2016-12-22.

Thin film transistor self-aligned to a light-shield layer

Номер патента: EP1396019B1. Автор: Teizo Yukawa. Владелец: TPO Hong Kong Holding Ltd. Дата публикации: 2015-07-08.

Method for depositing film and film deposition apparatus

Номер патента: US20100078113A1. Автор: Nobutaka Ukigaya,Tomokazu Sushihara. Владелец: Canon Inc. Дата публикации: 2010-04-01.

Mask for deposition

Номер патента: US09666837B2. Автор: Sang Yun Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-05-30.

System for deposition of material

Номер патента: WO2023224219A1. Автор: Ki Hun Kim,Jong Woo Shin,Jae Pil LEE,Sung Mo Kang,Jong Goo Park,Kyoung Rok MUN. Владелец: LG ENERGY SOLUTION, LTD.. Дата публикации: 2023-11-23.

Methods, processes, and apparatus for depositing nanosensors on low surface energy substrates

Номер патента: US11619606B2. Автор: Vijay Varadan,Pratyush Rai,Gyanesh Mathur. Владелец: Nanowear Inc. Дата публикации: 2023-04-04.

Methods and systems for depositing a layer

Номер патента: US20230243036A1. Автор: Viljami Pore,Marko Tuominen. Владелец: ASM IP Holding BV. Дата публикации: 2023-08-03.

System for deposition of material

Номер патента: US20240246106A1. Автор: Ki Hun Kim,Jong Woo Shin,Jae Pil LEE,Sung Mo Kang,Jong Goo Park,Kyoung Rok MUN. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-07-25.

Methods, processes, and apparatus for depositing nanosensors on low surface energy substrates

Номер патента: US20190086361A1. Автор: Vijay Varadan,Pratyush Rai,Gyanesh Mathur. Владелец: Nanowear Inc. Дата публикации: 2019-03-21.

Methods, processes, and apparatus for depositing nanosensors on low surface energy substrates

Номер патента: US20210278366A1. Автор: Vijay Varadan,Pratyush Rai,Gyanesh Mathur. Владелец: Nanowear Inc. Дата публикации: 2021-09-09.

Method for Depositing Materials on a Substrate

Номер патента: MY195025A. Автор: Robert W Tracy,Scott A Reid,Kenneth C Crouch,Thomas J Karlinski. Владелец: Illinois Tool Works. Дата публикации: 2023-01-03.

Apparatus for depositing thin film

Номер патента: US20130312666A1. Автор: Yu-Chiu Shih,Hsiu-Po Liu. Владелец: GCSOL Tech CO Ltd. Дата публикации: 2013-11-28.

Method and device for depositing a nano-object

Номер патента: US11854801B2. Автор: Matthieu DELBECQ,Tino CUBAYNES,José PALOMO,Matthieu DARTIAILH,Takis KONTOS,Matthieu DESJARDINS. Владелец: Université de Paris. Дата публикации: 2023-12-26.

Method for depositing high aspect ratio molecular structures

Номер патента: US09776206B2. Автор: David Gonzales,David P. Brown,Albert G. Nasibulin,Esko I. Kauppinen. Владелец: CANATU OY. Дата публикации: 2017-10-03.

Mask for deposition, method of manufacturing mask, and method of manufacturing display device

Номер патента: US20180151803A1. Автор: Youngmin Moon,Minho Moon,Jeongkuk Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-05-31.

Microwave cvd method for deposition of robust barrier coatings

Номер патента: WO1996032846A1. Автор: Masatsugu Izu,Buddie R. Ii Dotter. Владелец: Energy Conversion Devices, Inc.. Дата публикации: 1996-10-24.

Mask for depositing a thin film and a thin film deposition method using the same

Номер патента: US20160236222A1. Автор: Woong-Sik Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-08-18.

Mask for depositing a thin film and a thin film deposition method using the same

Номер патента: US9931661B2. Автор: Woong-Sik Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-04-03.

Mask for deposition and deposition apparatus including the same

Номер патента: US20130186330A1. Автор: Jungmin Lee,Choongho Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2013-07-25.

Mask for depositing a thin film and a thin film deposition method using the same

Номер патента: US20140370196A1. Автор: Woong-Sik Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2014-12-18.

Mask for deposition and deposition apparatus including the same

Номер патента: US9221072B2. Автор: Jungmin Lee,Choongho Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2015-12-29.

Apparatus for depositing material layers on a substrate

Номер патента: WO2024132366A1. Автор: Lászlo PETHÖ,Carlos Guerra,Johann Michler. Владелец: Swiss Cluster Ag. Дата публикации: 2024-06-27.

Apparatus for depositing material layers on a substrate

Номер патента: EP4389929A1. Автор: Lászlo PETHÖ,Carlos Guerra,Johann Michler. Владелец: Swiss Cluster Ag. Дата публикации: 2024-06-26.

Material deposition system for depositing materials on a substrate

Номер патента: EP2785893A2. Автор: Dennis G. Doyle,Thomas C. Prentice,Patsy A. Mattero,David P. Prince. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2014-10-08.

Device for deposition or cleaning with movable structure and method of operation thereof

Номер патента: RU2727634C1. Автор: Тимо МАЛИНЕН. Владелец: Пикосан Ой. Дата публикации: 2020-07-22.

Method and device for depositing a fiber material layer on a carrier

Номер патента: US20220205155A1. Автор: Ramon Hubertus Mathijs SOLBERG,Paul Johannes Franciscus Maria JANSSEN. Владелец: Vivolta BV. Дата публикации: 2022-06-30.

Method for depositing a target material onto a organic electrically functional material

Номер патента: EP2909356A1. Автор: Jan Matthijn Dekkers,Jan Arnaud Janssens. Владелец: Solmates Bv. Дата публикации: 2015-08-26.

Method for depositing a target material onto a organic electrically functional material

Номер патента: US10128467B2. Автор: Jan Matthijn Dekkers,Jan Arnaud Janssens. Владелец: Solmates Bv. Дата публикации: 2018-11-13.

Method for depositing a target material onto a organic electrically functional material

Номер патента: WO2014060356A1. Автор: Jan Matthijn Dekkers,Jan Arnaud Janssens. Владелец: SOLMATES B.V.. Дата публикации: 2014-04-24.

Plasma deposition source and method for depositing thin films

Номер патента: WO2010136464A1. Автор: NEIL Morrison,Stefan Hein,Andre HERZOG,Peter Skuk. Владелец: Applied Materials, Inc.. Дата публикации: 2010-12-02.

Method for depositing silicon nitride layer of semiconductor device

Номер патента: US20050118814A1. Автор: Hyung Kim,Sung Jung,Yong Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-06-02.

Method for depositing materials on a substrate

Номер патента: WO2005048329A1. Автор: Noriaki Fukiage,Katherina Babich. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2005-05-26.

Method and device for depositing a fiber material layer on a carrier

Номер патента: EP3966369A1. Автор: Ramon Hubertus Mathijs SOLBERG,Paul Johannes Franciscus Maria JANSSEN. Владелец: Vivolta BV. Дата публикации: 2022-03-16.

Mask for deposition of metallic material for depositing oled pixels and manufacturing method thereof

Номер патента: EP4234759A2. Автор: Jee Heum Paik,Hae Sik Kim. Владелец: LG Innotek Co Ltd. Дата публикации: 2023-08-30.

Mask for deposition of metallic material for depositing oled pixels and manufacturing method thereof

Номер патента: EP4234759A3. Автор: Jee Heum Paik,Hae Sik Kim. Владелец: LG Innotek Co Ltd. Дата публикации: 2023-10-04.

Method for depositing boron nitride film and film deposition apparatus

Номер патента: US12049694B2. Автор: Yosuke Watanabe,Shota CHIDA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-30.

Systems and methods for reducing contact to gate shorts

Номер патента: US20080150049A1. Автор: Nadia Rahhal-Orabi. Владелец: Intel Corp. Дата публикации: 2008-06-26.

Systems and methods for reducing contact to gate shorts

Номер патента: US20100022079A1. Автор: Nadia Rahhal-Orabi. Владелец: Individual. Дата публикации: 2010-01-28.

Systems and methods for reducing contact to gate shorts

Номер патента: US20110136314A1. Автор: Nadia Rahhal-Orabi. Владелец: Individual. Дата публикации: 2011-06-09.

Methods for depositing high-k dielectrics

Номер патента: US20100330772A1. Автор: Imran Hashim,Sandra Malhotra,Sunil Shanker,Edward Haywood,Xiangxin Rui. Владелец: Intermolecular Inc. Дата публикации: 2010-12-30.

Structure and method for reduced emitter tip to gate spacing in field emission devices

Номер патента: US20010020813A1. Автор: Ji Ung Lee. Владелец: Individual. Дата публикации: 2001-09-13.

Methods For Depositing High-K Dielectrics

Номер патента: US20130056852A1. Автор: Sandra G. Malhotra,Imran Hashim,Sunil Shanker,Xiangxin Rui,Edward L. Haywood. Владелец: Intermolecular Inc. Дата публикации: 2013-03-07.

Methods for depositing high-K dielectrics

Номер патента: US8574985B2. Автор: Imran Hashim,Sandra Malhotra,Sunil Shanker,Edward Haywood,Xiangxin Rui. Владелец: Elpida Memory Inc. Дата публикации: 2013-11-05.

Pecvd deposition system for deposition on selective side of the substrate

Номер патента: US20240309507A1. Автор: Fayaz Shaikh,Nick Linebarger,Curtis Bailey. Владелец: Lam Research Corp. Дата публикации: 2024-09-19.

Method for depositing dielectric film in trenches by PEALD

Номер патента: US09909214B2. Автор: Hidemi Suemori. Владелец: ASM IP Holding BV. Дата публикации: 2018-03-06.

Method for depositing one or more polycrystalline silicon layers on substrate

Номер патента: US09728452B2. Автор: Jari Mäkinen,Veli Matti Airaksinen. Владелец: Okmetic Oy. Дата публикации: 2017-08-08.

Methods for depositing amorphous silicon

Номер патента: US09633841B2. Автор: Chi-Min Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-25.

Apparatus for deposition of thin-film, solid state batteries

Номер патента: CA2190856C. Автор: Stanford R. Ovshinsky,Rosa Young,Herbert Ovshinsky. Владелец: Ovonic Battery Co Inc. Дата публикации: 2006-05-09.

Method for depositing silicon dioxide using low temperatures

Номер патента: US6096661A. Автор: Minh Van Ngo,Khanh Nguyen,Terri Jo Kitson. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-08-01.

Semiconductor devices with wide gate-to-gate spacing

Номер патента: US20200388540A1. Автор: Haiting Wang,Jiehui SHU,Sipeng Gu. Владелец: GlobalFoundries US Inc. Дата публикации: 2020-12-10.

Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same

Номер патента: US11849582B2. Автор: Li Hong XIAO. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-12-19.

Method for depositing metal

Номер патента: US5935396A. Автор: Sailesh Mansinh Merchant,Sailesh Chittipeddi,Joseph William Buckfeller. Владелец: Lucent Technologies Inc. Дата публикации: 1999-08-10.

Methods for depositing tungsten or molybdenum films

Номер патента: US11761081B2. Автор: Han Wang,Thomas H. Baum,Bryan C. Hendrix,Philip S. H. Chen,Robert WRIGHT, JR.,Shawn D. NGUYEN. Владелец: Entegris Inc. Дата публикации: 2023-09-19.

Method for depositing a silicon nitride film and film deposition apparatus

Номер патента: US20190051513A1. Автор: Hitoshi Kato,Yutaka Takahashi,Kazumi Kubo. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-02-14.

Si precursors for deposition of SiN at low temperatures

Номер патента: US09824881B2. Автор: SHANG Chen,Viljami Pore,Antti J. Niskanen. Владелец: ASM IP Holding BV. Дата публикации: 2017-11-21.

Method for depositing an aluminium nitride layer

Номер патента: US09607831B2. Автор: Heinz Felzer,Bernd Heinz,Lorenzo CASTALDI,Martin Kratzer,Robert MAMAZZA, Jr.. Владелец: EVATEC AG. Дата публикации: 2017-03-28.

Si precursors for deposition of SiN at low temperatures

Номер патента: US09564309B2. Автор: SHANG Chen,Hideaki Fukuda,Atsuki Fukazawa,Viljami Pore,Suvi P. Haukka,Antti J. Niskanen. Владелец: ASM IP Holding BV. Дата публикации: 2017-02-07.

Method for depositing a group III nitride semiconductor film

Номер патента: US09478420B2. Автор: Heinz Felzer,Lorenzo CASTALDI,Martin Kratzer,Robert MAMAZZA, Jr.. Владелец: EVATEC AG. Дата публикации: 2016-10-25.

Method and apparatus for deposition of biaxially textured coatings

Номер патента: CA2326202C. Автор: Roger De Gryse,Jurgen Denul. Владелец: Bekaert NV SA. Дата публикации: 2008-06-17.

System and process for deposition of polycrystalline silicon with silane in vacuum

Номер патента: US3900597A. Автор: Jerry L Chruma,Paul G Hilton. Владелец: Motorola Inc. Дата публикации: 1975-08-19.

Method and apparatus for depositing a layer on a semiconductor wafer by vapor deposition in a process chamber

Номер патента: MY166009A. Автор: Brenninger Georg. Владелец: SILTRONIC AG. Дата публикации: 2018-05-21.

Systems and methods for reducing contact to gate shorts

Номер патента: US8168488B2. Автор: Nadia Rahhal-Orabi. Владелец: Intel Corp. Дата публикации: 2012-05-01.

Mask for deposition of metallic material for depositing oled pixels and manufacturing method thereof

Номер патента: EP3678204A2. Автор: Jee Heum Paik,Hae Sik Kim. Владелец: LG Innotek Co Ltd. Дата публикации: 2020-07-08.

Devices with contact-to-gate shorting through conductive paths between fins and fabrication methods

Номер патента: US20180061842A1. Автор: Min-Hwa Chi,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-01.

Method for depositing a film onto a substrate

Номер патента: EP2255022A2. Автор: Andreas Stadler,Uwe Brendel,Herbert Dittrich,Hermann-Josef Schimper,Dan Topa,Angelika Basch. Владелец: LAM RESEARCH AG. Дата публикации: 2010-12-01.

Method for depositing a film onto a substrate

Номер патента: WO2009112388A2. Автор: Andreas Stadler,Uwe Brendel,Herbert Dittrich,Hermann-Josef Schimper,Dan Topa,Angelika Basch. Владелец: Sez Ag. Дата публикации: 2009-09-17.

Method for depositing an epitaxial layer on a substrate wafer

Номер патента: US20230265581A1. Автор: Thomas Stettner,Martin WENGBAUER. Владелец: SILTRONIC AG. Дата публикации: 2023-08-24.

Magazine for depositing substrates

Номер патента: WO2009038244A4. Автор: Yeong Yeop Moon. Владелец: Visionsemicon Co Ltd. Дата публикации: 2009-07-30.

Methods and systems for deposition to gaps using an inhibitor

Номер патента: US20230069459A1. Автор: Varun Sharma,Suvi P. Haukka,Eva E. Tois. Владелец: ASM IP Holding BV. Дата публикации: 2023-03-02.

Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module

Номер патента: US10392725B2. Автор: Frank Asbeck. Владелец: Individual. Дата публикации: 2019-08-27.

Method and device for depositing silicon on a substrate

Номер патента: US20140295105A1. Автор: Michael Huth,Andreas Terfort. Владелец: Individual. Дата публикации: 2014-10-02.

Formulation for depositing a light-emitting polymer layer

Номер патента: US20030173563A1. Автор: Ilaria Grizzi. Владелец: Cambridge Display Technology Ltd. Дата публикации: 2003-09-18.

Method and apparatus for depositing a silicon-containing film

Номер патента: US20160379868A1. Автор: Jun Sato,Masahiro Murata,Hiroyuki Kikuchi,Shigehiro Miura. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-12-29.

Method and apparatus for depositing phosphor on semiconductor-light emitting device

Номер патента: US09892981B2. Автор: Seong-Jae Hong,Cheol-jun Yoo. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-13.

Method for depositing aluminum on a permanent Nd—Fe—B magnet

Номер патента: US09783883B2. Автор: Zhongjie Peng,Kunkun Yang,Daoning Jia. Владелец: Yantai Shougang Magnetic Materials Inc. Дата публикации: 2017-10-10.

Method for depositing extremely low resistivity tungsten

Номер патента: US09589808B2. Автор: Raashina Humayun,Deqi Wang,Hanna Bamnolker,Yan Guan. Владелец: Lam Research Corp. Дата публикации: 2017-03-07.

Apparatus and method for depositing a layer onto a substrate

Номер патента: US09490166B2. Автор: Jürgen WEICHART,Mohamed Elghazzali,Sven Uwe Rieschl. Владелец: EVATEC AG. Дата публикации: 2016-11-08.

Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process

Номер патента: US11387106B2. Автор: Suvi Haukka. Владелец: ASM IP Holding BV. Дата публикации: 2022-07-12.

Apparatus for depositing particles onto a wafer

Номер патента: US5746832A. Автор: Seung-ki Chae,Jong-Soo Kim,Byung-seol Ahn,Sang-kyu Hahm. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-05-05.

Process for depositing conductive layers on substrates

Номер патента: CA1058330A. Автор: Paul H. Holloway,Donald M. Mattox,Gerald C. Nelson. Владелец: US Department of Energy. Дата публикации: 1979-07-10.

Method for depositing one or more polycrystalline silicon layers on substrate

Номер патента: CA2832084C. Автор: Jari Mäkinen,Veli Matti Airaksinen. Владелец: Okmetic Oy. Дата публикации: 2020-09-08.

Method and apparatus for deposition using an atmospheric pressure plasma

Номер патента: CA2964752C. Автор: Marvi A. MATOS,Liam S. PINGREE. Владелец: Boeing Co. Дата публикации: 2019-09-03.

A method and apparatus for depositing a coating onto a substrate

Номер патента: EP2037000A3. Автор: Torbjorn Selinder,Markus Rodmar. Владелец: Sandvik Intellectual Property AB. Дата публикации: 2009-05-13.

PECVD deposition system for deposition on selective side of the substrate

Номер патента: US11851760B2. Автор: Fayaz Shaikh,Nick Linebarger,Curtis Bailey. Владелец: Lam Research Corp. Дата публикации: 2023-12-26.

Method for depositing silicon nitride layer of semiconductor device

Номер патента: US6949480B2. Автор: Sung Hoon Jung,Hyung Kyun Kim,Yong Seok Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-09-27.

Method for depositing boron nitride film and film deposition apparatus

Номер патента: US20220235457A1. Автор: Yosuke Watanabe,Shota CHIDA. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-07-28.

Methods for depositing bevel protective film

Номер патента: SG189223A1. Автор: Yunsang Kim,Neungho Shin,Patrick Chung. Владелец: Lam Res Corp. Дата публикации: 2013-05-31.

Process for depositing a metal coating on a metallic component of an electrical structure

Номер патента: US6159560A. Автор: Robert A. Stevenson,Theo C. M. Rijsdijk. Владелец: Individual. Дата публикации: 2000-12-12.

Method and apparatus for depositing an etch stop layer

Номер патента: US6127262A. Автор: Wai-Fan Yau,David Cheung,Chan-Lon Yang,Judy H. Huang. Владелец: Applied Materials Inc. Дата публикации: 2000-10-03.

Apparatus for depositing cathode material on a wire cathode

Номер патента: US5902464A. Автор: Godefridus J. Verhoeckx,Nicolaas J. M. Van Leth,Theodorus H. M. Stevens. Владелец: US Philips Corp. Дата публикации: 1999-05-11.

Process for depositing silicon dioxide by liquid phase diposition

Номер патента: US5506006A. Автор: Si-Chen Lee,Jeng-Shiuh Chou. Владелец: National Science Council. Дата публикации: 1996-04-09.

Susceptor for deposition apparatus

Номер патента: US5645646A. Автор: Mahalingam Venkatesan,Israel Beinglass,Roger N. Anderson. Владелец: Applied Materials Inc. Дата публикации: 1997-07-08.

Method for deposition of high-performance coatings and encapsulated electronic devices

Номер патента: WO2014210613A1. Автор: Stephen E. Savas,Carl Galewski,Allan B. Wiesnoski. Владелец: PlasmaSi, Inc.. Дата публикации: 2014-12-31.

Self-aligning to wind facing floating platform supporting multi-wind turbines

Номер патента: GB202009177D0. Автор: . Владелец: Zhuhai Kaluosi Macau Eng Consultant Ltd. Дата публикации: 2020-07-29.

ANGULAR CONTACT SELF-ALIGNING TOROIDAL ROLLING ELEMENT BEARING

Номер патента: US20160138648A1. Автор: Kullin Arne Lars Jonas,KELLSTROM Magnus,LOFQVIST Andreas. Владелец: AKTIEBOLAGET SKF. Дата публикации: 2016-05-19.

Angular contact self-aligning toroidal rolling element bearing

Номер патента: EP3020987A1. Автор: Magnus Kellstrom,Jonas Kullin,Andreas LÖFQVIST. Владелец: SKF AB. Дата публикации: 2016-05-18.

Angular contact self-aligning roller bearing

Номер патента: EP4227548A4. Автор: Kai Cheng,HongJun GUO. Владелец: Guo Hong Jun. Дата публикации: 2023-09-06.

Multi-material deposition arrangement and method for deposition extrusion

Номер патента: WO2024105305A1. Автор: Tomi Kalpio,Dhayakumar PRAKASH. Владелец: Brinter Oy. Дата публикации: 2024-05-23.

Dispenser head for adhesive material and method for depositing adhesive material using said head

Номер патента: EP2078689A3. Автор: Andrea Paulotto. Владелец: Biemme Adesivi Srl. Дата публикации: 2010-01-06.

Device and tray for depositing sheets

Номер патента: WO2007059811A2. Автор: Sönke DEHN,Frank Nagel,Sönke Christian Blüthgen,Rolf Dieter Gritzuhn. Владелец: EASTMAN KODAK COMPANY. Дата публикации: 2007-05-31.

Device and tray for depositing sheets

Номер патента: EP1954616A2. Автор: Sönke DEHN,Frank Nagel,Sönke Christian Blüthgen,Rolf Dieter Gritzuhn. Владелец: Eastman Kodak Co. Дата публикации: 2008-08-13.

Method and apparatus for depositing sheet of paper onto a stack

Номер патента: EP1660397A1. Автор: Dirk Dobrindt. Владелец: Eastman Kodak Co. Дата публикации: 2006-05-31.

Device for depositing sheets for a printing machine

Номер патента: EP1922275A1. Автор: Dirk Dobrindt,Rolf Dieter Gritzuhn,Bernhard Linke,Jens Heise. Владелец: Eastman Kodak Co. Дата публикации: 2008-05-21.

Apparatus For Depositing A Sheet On A Stack

Номер патента: US20070210508A1. Автор: Dirk Dobrindt. Владелец: Eastman Kodak Co. Дата публикации: 2007-09-13.

Apparatus for depositing a filament tow

Номер патента: US20070017182A1. Автор: Olaf Schwarz,Bernhard Schoennagel. Владелец: Individual. Дата публикации: 2007-01-25.

Method for depositing horizontal rib on unequal-height section by laser cladding

Номер патента: US11759891B2. Автор: Gang Li,Hao Su,Dongsheng Li,Tuo SHI,Weiwei JIANG. Владелец: SUZHOU UNIVERSITY. Дата публикации: 2023-09-19.

Method for depositing a nickel-metal layer

Номер патента: US09631282B2. Автор: Stefan Koppe. Владелец: Schauenburg Ruhrkunststoff GmbH. Дата публикации: 2017-04-25.

Device for deposition of fibers upon a frame with concave circular sections

Номер патента: US09409351B2. Автор: Denis De Mattia. Владелец: AIRBUS OPERATIONS SAS. Дата публикации: 2016-08-09.

Multi-material deposition arrangement and method for deposition extrusion

Номер патента: US20240165875A1. Автор: Tomi Kalpio,Dhayakumar Rajan Prakash. Владелец: Brinter Oy. Дата публикации: 2024-05-23.

An apparatus and system for depositing fiber material

Номер патента: US20240025134A1. Автор: Akshay Ballal,Dhinesh Kanagaraj,Srinath RAMESH. Владелец: Fabheads Automation Pvt Ltd. Дата публикации: 2024-01-25.

An apparatus and system for depositing fiber material

Номер патента: WO2022029804A1. Автор: Akshay Ballal,Dhinesh Kanagaraj,Srinath RAMESH. Владелец: Fabheads Automation Private Limited. Дата публикации: 2022-02-10.

Mould with means for depositing release agent for making articles of polyurethane foam

Номер патента: EP1767329A3. Автор: Dusan Grabnar,Dusan Gorsek. Владелец: Koroski Holding d o o. Дата публикации: 2008-01-02.

Device For Depositing Sheets In A Stack

Номер патента: US20080029951A1. Автор: Dirk Dobrindt,Rolf Gritzuhn. Владелец: Eastman Kodak Co. Дата публикации: 2008-02-07.

Device for depositing sheets in a stack

Номер патента: WO2005080242A1. Автор: Dirk Dobrindt,Rolf Dieter Gritzuhn. Владелец: EASTMAN KODAK COMPANY. Дата публикации: 2005-09-01.

Device for depositing sheets in a stack

Номер патента: EP1723061A1. Автор: Dirk Dobrindt,Rolf Dieter Gritzuhn. Владелец: Eastman Kodak Co. Дата публикации: 2006-11-22.

Device for depositing sheets in a stack

Номер патента: US20100059931A1. Автор: Dirk Dobrindt,Rolf Dieter Gritzuhn. Владелец: Individual. Дата публикации: 2010-03-11.

Device for depositing overspray and surface treatment installation

Номер патента: US20180207669A1. Автор: Tobias ZEBISCH,Uwe Knaus,Juergen Roeckle,Joachim Juerss. Владелец: EISENMANN SE. Дата публикации: 2018-07-26.

Device for depositing a free-flowing medium onto a surface along an arcuate depositing curve

Номер патента: US5997648A. Автор: Thomas Haar. Владелец: Alfons Haar Maschinenbau GmbH and Co KG. Дата публикации: 1999-12-07.

Method for depositing a desired superalloy composition

Номер патента: EP3658323A1. Автор: Gerald J. Bruck. Владелец: Siemens Energy Inc. Дата публикации: 2020-06-03.

Method for depositing a desired superalloy composition

Номер патента: WO2019022967A1. Автор: Gerald J. Bruck. Владелец: SIEMENS ENERGY, INC.. Дата публикации: 2019-01-31.

Apparatus for depositing droplets

Номер патента: WO2004113083A1. Автор: Andreas Bibl,Paul A. Hoisington,Melvin L. Biggs. Владелец: Dimatix, Inc.. Дата публикации: 2004-12-29.

Apparatus for depositing droplets

Номер патента: WO2004113078A2. Автор: Paul A. Hoisington,Melvin L. Biggs,Steven H. Barss. Владелец: Dimatix, Inc.. Дата публикации: 2004-12-29.

Apparatus for depositing droplets

Номер патента: EP1633565A2. Автор: Paul A. Hoisington,Melvin L. Biggs,Steven H. Barss. Владелец: Dimatix Inc. Дата публикации: 2006-03-15.

Apparatus for depositing droplets

Номер патента: EP1633565A4. Автор: Steven H Barss,Paul A Hoisington,Melvin L Biggs. Владелец: Fujifilm Dimatix Inc. Дата публикации: 2009-08-05.

Machine for depositing slabs

Номер патента: GB1268797A. Автор: Andrew Mathis Kamper. Владелец: PREMIER STONE Co. Дата публикации: 1972-03-29.

PVD hybrid method for depositing mixed crystal layers

Номер патента: US8980446B2. Автор: Veit Schier,Wolfgang Engelhart. Владелец: WALTER AG. Дата публикации: 2015-03-17.

Apparatus for depositing solid particulate material in a frustoconical pile

Номер патента: CA1172594A. Автор: Per-Ove Malmstrom,Karl E.A. Dyren. Владелец: KMW Mekan AB. Дата публикации: 1984-08-14.

Apparatus for depositing copy sheets

Номер патента: US5141220A. Автор: Helmut Ettischer. Владелец: Eastman Kodak Co. Дата публикации: 1992-08-25.

Device for depositing and withdrawing banknotes

Номер патента: CA2177951A1. Автор: Manfred Schulze. Владелец: Individual. Дата публикации: 1995-06-08.

Methods for depositing anti-coking protective coatings on aerospace components

Номер патента: US20240076776A1. Автор: Sukti Chatterjee,David Alexander Britz. Владелец: Applied Materials Inc. Дата публикации: 2024-03-07.

A machine for depositing ceramic powders

Номер патента: US20230173710A1. Автор: Franco Gozzi,Eustachio TARASCO,Carmine SANGIOVANNI. Владелец: System Ceramics SpA. Дата публикации: 2023-06-08.

Device for depositing poultry to a poultry conveyer

Номер патента: CA3139279C. Автор: Massimo Zanotti. Владелец: Meyn Food Processing Technology BV. Дата публикации: 2023-10-31.

A machine for depositing ceramic powders

Номер патента: EP4188661A1. Автор: Franco Gozzi,Eustachio TARASCO,Carmine SANGIOVANNI. Владелец: System Ceramics SpA. Дата публикации: 2023-06-07.

Device for depositing poultry to a poultry conveyer

Номер патента: US12011005B2. Автор: Massimo Zanotti. Владелец: Meyn Food Processing Technology BV. Дата публикации: 2024-06-18.

Apparatus on a spinning room machine for depositing fibre sliver

Номер патента: GB2448217A. Автор: Ulrich Vollrath. Владелец: Truetzschler GmbH and Co KG. Дата публикации: 2008-10-08.

Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound

Номер патента: US20020051847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-05-02.

Method for depositing layer

Номер патента: US09951426B2. Автор: Akira Fukushima,Makoto Saito,Noriyuki Hiramatsu. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2018-04-24.

Method for depositing layer

Номер патента: US09932660B2. Автор: Akira Fukushima,Makoto Saito,Noriyuki Hiramatsu. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2018-04-03.

Eyeshadow compact with applicator for depositing at least two product colours on the eyelids

Номер патента: WO2005104898A1. Автор: Angelo Gatti. Владелец: Micys Company S.P.A.. Дата публикации: 2005-11-10.

Installation for depositing nanostructures on a substrate

Номер патента: EP4019663A1. Автор: Enric Bertran Serra,Enric Manuel Bertran González,Sergi Domingo Risco. Владелец: Advanced Nanotechnologies SL. Дата публикации: 2022-06-29.

System and method for depositing of a first and second layer on a substrate

Номер патента: US11761088B2. Автор: Kevin Johannes Hendrikus LAGARDE. Владелец: Innoflex Technologies BV. Дата публикации: 2023-09-19.

Methods for depositing metallic iridium and iridium silicide

Номер патента: US20200131633A1. Автор: Feng Q. Liu,Hua Chung,Schubert Chu. Владелец: Applied Materials Inc. Дата публикации: 2020-04-30.

Chemical vapor deposition process for depositing a titanium oxide coating

Номер патента: US09938619B2. Автор: Jun Ni,Srikanth Varanasi,Douglas M. Nelson. Владелец: Pilkington Group Ltd. Дата публикации: 2018-04-10.

Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor

Номер патента: US09869024B2. Автор: Mayur Trivedi. Владелец: Applied Materials Inc. Дата публикации: 2018-01-16.

Method and device for deposition of atomic layers

Номер патента: RU2600047C2. Автор: Свен ЛИНДФОРС. Владелец: Пикосан Ой. Дата публикации: 2016-10-20.

Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound

Номер патента: US20030165619A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2003-09-04.

Deposition apparatus for depositing evaporated material and methods therefor

Номер патента: EP3749796A1. Автор: Frank Schnappenberger,Thomas Deppisch,Claire ARMSTRONG. Владелец: Applied Materials Inc. Дата публикации: 2020-12-16.

Oxygen-doped amorphous carbon film and method for depositing the same

Номер патента: US20240263311A1. Автор: Nam-Seo Kim,Seung-Hwan JEON,Seong-Pyo CHO. Владелец: Tes Co Ltd. Дата публикации: 2024-08-08.

A method for depositing layers on a substrate

Номер патента: EP1248866A1. Автор: Lars-Ulrik Aaen Andersen,Paul Nicholas Egginton. Владелец: Ionas AS. Дата публикации: 2002-10-16.

Facility for depositing lubricant coating on hard magnetic disks

Номер патента: WO2008131349A1. Автор: Petersen Carl. Владелец: INTEVAC, INC.. Дата публикации: 2008-10-30.

Methods for depositing carbon conducting films by atomic layer deposition

Номер патента: US20240124977A1. Автор: Jean-Sebastien Materne Lehn. Владелец: Micron Technology Inc. Дата публикации: 2024-04-18.

Method for depositing high quality silicon dioxide by pecvd

Номер патента: US5068124A. Автор: John Batey,Elaine Tierney. Владелец: International Business Machines Corp. Дата публикации: 1991-11-26.

Apparatus and method for depositing a substance on a rotating surface

Номер патента: US5486380A. Автор: Matthew Simpson,Dan O. Enniss. Владелец: Saint Gobain Norton Industrial Ceramics Corp. Дата публикации: 1996-01-23.

Process for depositing oxynitride film on substrate by liquid phase deposition

Номер патента: US5766692A. Автор: Ming-Kwei Lee,Chung-Hsing Lin. Владелец: National Science Council. Дата публикации: 1998-06-16.

Method for depositing layer

Номер патента: US20150368807A1. Автор: Akira Fukushima,Makoto Saito,Noriyuki Hiramatsu. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2015-12-24.

Reflector, light source device, liquid crystal projector, and method for depositing reflecting film coatings

Номер патента: US20070041087A1. Автор: Mototaka KANAYA. Владелец: Fujinon Sano Corp. Дата публикации: 2007-02-22.

Process for depositing a noble metal on zirconia ceramics and jewellery product made through such process

Номер патента: WO2016147209A1. Автор: Roberto Demeglio. Владелец: Roberto Demeglio S.P.A.. Дата публикации: 2016-09-22.

Method for Depositing Zinc Oxide on a Substrate

Номер патента: US20180142349A1. Автор: Florian Huber,Anton Reiser,Manfred Madel,Klaus Thonke. Владелец: Universitaet Ulm. Дата публикации: 2018-05-24.

Method for depositing a coating on a substrate

Номер патента: US20230193454A1. Автор: Simon Springer,Stéphane Lauper,Gregory Kissling,Marion Gstalter,Loïc Curchod,Loïc OBERSON,Ahmad Odeh. Владелец: Omega SA. Дата публикации: 2023-06-22.

Method and system for depositing noble metal-containing layer

Номер патента: US20240279805A1. Автор: Mikko Ritala,Timo Hatanpää,Anton Vihervaara. Владелец: ASM IP Holding BV. Дата публикации: 2024-08-22.

Method for depositing ultra fine grain polysilicon thin film

Номер патента: US20110294284A1. Автор: Sang Ho Woo,Song Hwan Park,Hai Won Kim,Sung Gil Cho,Kyung Soo Jung. Владелец: Eugene Technology Co Ltd. Дата публикации: 2011-12-01.

Apparatus for depositing

Номер патента: ZA202205924B. Автор: Jonathan Sutton,Richard John LEADBEATER,Adam Lee Baldwin. Владелец: Nestle SA. Дата публикации: 2024-09-25.

Method and an apparatus for depositing a layer onto a workpiece using plasma

Номер патента: US09915000B2. Автор: Hyunsoo Yang,Young Jun Shin,Xuepeng Qiu. Владелец: NATIONAL UNIVERSITY OF SINGAPORE. Дата публикации: 2018-03-13.

Compositions for depositing agents using highly volatile silicone solvents

Номер патента: US09844596B2. Автор: Eugene R. Cooper,Eric R. Thompson. Владелец: THOMPSON COOPER LABORATORIES LLC. Дата публикации: 2017-12-19.

Device for deposition or cleaning with mobile structure and method for its operation

Номер патента: RU2748658C1. Автор: Тимо МАЛИНЕН. Владелец: Пикосан Ой. Дата публикации: 2021-05-28.

Method for depositing mail in a electronic safety deposit box system; safety deposit system

Номер патента: NZ540010A. Автор: Boris Mayer,Oliver Biegel. Владелец: DEUTSCHE POST AG. Дата публикации: 2009-04-30.

Improvements in the machines for depositing a viscid material upon cakes or like objects

Номер патента: GB489201A. Автор: . Владелец: Peters Machinery Co. Дата публикации: 1938-07-21.

Methods and apparatus for deposition of thin films

Номер патента: WO2003083166A1. Автор: Peter Novak,Yevgen Kalynushkin,Elena Shembel,Chris Flury. Владелец: Ener1 Battery Company. Дата публикации: 2003-10-09.

Process for depositing a ceramic coating on a filament

Номер патента: CA2015126C. Автор: Philip Gruber,Robert Alan Shatwell,Ashleigh Martin Kewney. Владелец: UK Secretary of State for Defence. Дата публикации: 1999-08-31.

Method and device for depositing a food product onto a surface

Номер патента: AU2001239384A1. Автор: Rene Goethals,Jean Beros,Marcel Nowicki. Владелец: Lu. Дата публикации: 2001-10-08.

A method for deposition of functional layer of polymeric nanofibres on a surface of a substrate

Номер патента: WO2010102593A3. Автор: Ladislav Mares. Владелец: Elmarco S.R.O.. Дата публикации: 2010-11-04.

Chemically active isolation passageway for deposition chambers

Номер патента: WO1993000455A1. Автор: Prem Nath,Gary M. Didio,Kevin Hoffman,Jon Call. Владелец: United Solar Systems Corporation. Дата публикации: 1993-01-07.

A method for deposition of functional layer of polymeric nanofibres on a surface of a substrate

Номер патента: WO2010102593A2. Автор: Ladislav Mares. Владелец: Elmarco S.R.O.. Дата публикации: 2010-09-16.

Method for depositing nanoparticles on a support

Номер патента: US20120003397A1. Автор: Francois Reniers,Jean-Jacques Pireaux,Frederic Demoisson. Владелец: Universite Libre de Bruxelles ULB. Дата публикации: 2012-01-05.

Enamel for deposition in low-temperature plasma jet

Номер патента: RU2630821C1. Автор: Юлия Алексеевна Щепочкина. Владелец: Юлия Алексеевна Щепочкина. Дата публикации: 2017-09-13.

A method and apparatus for depositing particles on a moving web of material

Номер патента: WO1992019198A1. Автор: Robert Perneborn. Владелец: Mölnlycke AB. Дата публикации: 1992-11-12.

Apparatus for depositing a coating on a substrate

Номер патента: US5336324A. Автор: Richard A. Stall,Gary S. Tompa,Alexander Gurary,Craig R. Nelson. Владелец: Emcore Corp. Дата публикации: 1994-08-09.

Machine for depositing doeses of cream on biscuits

Номер патента: GB1308698A. Автор: . Владелец: HEUZE MALEVEZ SIMON REUNIS SA. Дата публикации: 1973-02-21.

Apparatus for depositing a confectionery mass, and method of producing a confectionery product

Номер патента: CA2638084A1. Автор: Melis Abylov,Juraj Durco. Владелец: Kraft Foods R&D Inc USA. Дата публикации: 2009-01-20.

Automatic item-driven system for deposit and pick-up

Номер патента: US6010239A. Автор: William David Hardgrave,Lanna Kay Hardgrave. Владелец: Individual. Дата публикации: 2000-01-04.

Apparatus and process for deposition of polycrystalline silicon

Номер патента: CA2789486C. Автор: Mikhail Sofin. Владелец: Wacker Chemie AG. Дата публикации: 2015-06-30.

Method and apparatus for depositing particles on a moving web of material

Номер патента: US5415717A. Автор: Robert Perneborn. Владелец: Molnlycke Vafveri AB. Дата публикации: 1995-05-16.

Apparatus for depositing a confectionery mass, and method of producing a confectionery product

Номер патента: US20090020566A1. Автор: Melis Abylov,Juraj Durco. Владелец: Individual. Дата публикации: 2009-01-22.

EPITAXIAL SOURCE/DRAIN CONTACTS SELF-ALIGNED TO GATES FOR DEPOSITED FET CHANNELS

Номер патента: US20120292598A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-22.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCES

Номер патента: US20130009210A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2013-01-10.

LOCAL INTERCONNECT STRUCTURE SELF-ALIGNED TO GATE STRUCTURE

Номер патента: US20120280290A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-08.

METAL OXIDE TFT WITH IMPROVED SOURCE/DRAIN CONTACTS

Номер патента: US20120313092A1. Автор: Yu Gang,Shieh Chan-Long,Foong Fatt. Владелец: . Дата публикации: 2012-12-13.

Low source-drain contact resistance MOSFETs and manufacture method thereof

Номер патента: CN102983163B. Автор: 赵超,罗军. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-04-20.

Method for forming active region self-aligning to deep trench

Номер патента: TW494535B. Автор: Brian S Lee. Владелец: Promos Technologies Inc. Дата публикации: 2002-07-11.

BORDERLESS INTERCONNECT LINE STRUCTURE SELF-ALIGNED TO UPPER AND LOWER LEVEL CONTACT VIAS

Номер патента: US20120086128A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-04-12.

FET eDRAM TRENCH SELF-ALIGNED TO BURIED STRAP

Номер патента: US20130015515A1. Автор: Nowak Edward J.,ANDERSON Brent A.,Rankin Jed H.,JR. John E.,Barth. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-01-17.

Improvements in Valves or Gates for Use in connection with Sluices and the like.

Номер патента: GB190319630A. Автор: Alois Etter. Владелец: Individual. Дата публикации: 1903-10-15.