• Главная
  • Method for fabricating polyresistor of semiconductor device

Method for fabricating polyresistor of semiconductor device

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for forming a lower electrode for use in a semiconductor device

Номер патента: US6465300B2. Автор: Jeong-tae Kim,Yong-Sik Yu. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-10-15.

Semiconductor device and method for fabrication thereof

Номер патента: EP1223622A3. Автор: Kazuaki c/o FUJITSU LIMITED KURIHARA,Kenji c/o Fujitsu Limited Maruyama. Владелец: Fujitsu Ltd. Дата публикации: 2004-07-28.

Semiconductor device and method for fabricating thereof

Номер патента: US20220093532A1. Автор: Youn Soo Kim,Tae Kyun Kim,Jin-Su Lee,Hong Sik Chae,Youn Joung CHO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-03-24.

Semiconductor device and method for fabricating the same

Номер патента: US20210104528A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-04-08.

Method for fabricating a semiconductor device and the same

Номер патента: US20210288052A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-16.

Semiconductor device with self-aligned air gap and method for fabricating the same

Номер патента: US09640426B2. Автор: Jong-Min Lee,Il-Cheol RHO. Владелец: SK hynix Inc. Дата публикации: 2017-05-02.

Semiconductor device and method for fabricating the same

Номер патента: US20230253242A1. Автор: Jun Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2023-08-10.

Semiconductor device with single step height and method for fabricating the same

Номер патента: US20220122991A1. Автор: Yu-Ting Lin,Mao-Ying Wang,Lai-Cheng TIEN,Hui-Lin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2022-04-21.

Semiconductor device including nonvolatile memory and method for fabricating the same

Номер патента: US7282413B2. Автор: Masataka Takebuchi,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2007-10-16.

Semiconductor device including nonvolatile memory and method for fabricating the same

Номер патента: US20090283815A1. Автор: Masataka Takebuchi,Fumitaka Arai. Владелец: Toshiba Corp. Дата публикации: 2009-11-19.

Method for fabricating semiconductor device

Номер патента: US20220310626A1. Автор: Zhongming Liu,Longyang Chen,Hongfa Wu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-29.

Semiconductor device with self-aligned landing pad and method for fabricating the same

Номер патента: US11121137B1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-14.

Semiconductor device with tapering impurity region and method for fabricating the same

Номер патента: US20210351185A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-11.

Semiconductor device and method for fabricating the same

Номер патента: US20220013526A1. Автор: Se Han Kwon. Владелец: SK hynix Inc. Дата публикации: 2022-01-13.

Semiconductor device and method for fabricating the same

Номер патента: US11532631B2. Автор: Se Han Kwon. Владелец: SK hynix Inc. Дата публикации: 2022-12-20.

Semiconductor device with porous decoupling feature and method for fabricating the same

Номер патента: US20210375881A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-12-02.

Semiconductor device and method for fabricating the same

Номер патента: US20210091087A1. Автор: Chun-Cheng Liao. Владелец: Nanya Technology Corp. Дата публикации: 2021-03-25.

Semiconductor device and method for fabricating the same

Номер патента: US20210043634A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-02-11.

Methods for fabricating semiconductor devices

Номер патента: US20040142569A1. Автор: Seok Kim,Chee Choi. Владелец: Individual. Дата публикации: 2004-07-22.

Semiconductor device and method for fabricating the same

Номер патента: US20210082922A1. Автор: Chih-Wei Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-03-18.

Semiconductor device with protruding contact and method for fabricating the same

Номер патента: US20220122979A1. Автор: Chih-Hung Chen,Chiang-Lin Shih,Szu-Yao Chang. Владелец: Nanya Technology Corp. Дата публикации: 2022-04-21.

Semiconductor device and method for fabricating the same

Номер патента: US20130015508A1. Автор: Wen-Yueh Jang. Владелец: Winbond Electronics Corp. Дата публикации: 2013-01-17.

Method for fabricating semiconductor device with protruding contact

Номер патента: US11574911B2. Автор: Chih-Hung Chen,Chiang-Lin Shih,Szu-Yao Chang. Владелец: Nanya Technology Corp. Дата публикации: 2023-02-07.

Method for forming buried bit line, semiconductor device having the same, and fabricating method thereof

Номер патента: US09837422B2. Автор: Jin-Ki Jung,You-Song Kim. Владелец: SK hynix Inc. Дата публикации: 2017-12-05.

Method for fabricating semiconductor circuits

Номер патента: US5330933A. Автор: Tsiu C. Chan,William A. Bishop. Владелец: SGS Thomson Microelectronics Inc. Дата публикации: 1994-07-19.

Apparatus and methods for sensing long wavelength light

Номер патента: US12113086B2. Автор: Ying-Hao Chen,Yun-Wei Cheng,Chun-Hao Chou,Kuo-Cheng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-08.

Apparatus and methods for sensing long wavelength light

Номер патента: US11798969B2. Автор: Ying-Hao Chen,Yun-Wei Cheng,Chun-Hao Chou,Kuo-Cheng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-24.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190304855A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US10847433B2. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2020-11-24.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Semiconductor device and method for fabricating the same

Номер патента: US09577043B2. Автор: Hyun Jung Lee,Seung Hun Lee,Sunjung Kim,Eunhye Choi,Jongryeol YOO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-21.

Semiconductor device having a device isolation trench

Номер патента: US20070264789A1. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-11-15.

Wafer-level encapsulated semiconductor device, and method for fabricating same

Номер патента: US09450004B2. Автор: Wei-Feng Lin,Chih-Hung Tu. Владелец: Omnivision Technologies Inc. Дата публикации: 2016-09-20.

Semiconductor device and manufacturing method thereof

Номер патента: US09437620B2. Автор: Yoshitaka Dozen,Takuya Tsurume. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-09-06.

Method for fabricating semiconductor device, and method for fabricating display device

Номер патента: US20130089933A1. Автор: Katsuyuki Suga. Владелец: Individual. Дата публикации: 2013-04-11.

Manufacturing method of semiconductor device

Номер патента: US20110183470A1. Автор: Ryosuke Watanabe. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-07-28.

Manufacturing method of semiconductor device

Номер патента: WO2007043285A9. Автор: Ryosuke Watanabe. Владелец: Ryosuke Watanabe. Дата публикации: 2007-06-07.

Method for fabricating semiconductor device

Номер патента: US7220638B2. Автор: Osamu Yamaguchi,Kouichi Tani. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-05-22.

Semiconductor device and method for fabricating the same

Номер патента: US20240072097A1. Автор: Shih-Hung Tsai,Po-Kuang Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2024-02-29.

Method for manufacturing a multi-layer wiring structure of a semiconductor device

Номер патента: US5851917A. Автор: Sang-In Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-12-22.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: US20010038152A1. Автор: I-Ming Chen. Владелец: Individual. Дата публикации: 2001-11-08.

Apparatus and method for measuring and controlling the internal temperature of a semiconductor device

Номер патента: US09568537B1. Автор: Jason Christopher McCullough. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-14.

Method for manufacturing soi structure in desired region of a semiconductor device

Номер патента: US20090186463A1. Автор: Min Jung SHIN. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-07-23.

Method for cleaning semiconductor wafer and manufacturing method of semiconductor wafer using the method for cleaning

Номер патента: US20200020552A1. Автор: Katsuro Wakasugi. Владелец: Sumco Corp. Дата публикации: 2020-01-16.

Apparatuses and related methods for staggering power-up of a stack of semiconductor dies

Номер патента: US9785171B2. Автор: Trismardawi Tanadi. Владелец: Micron Technology Inc. Дата публикации: 2017-10-10.

Apparatuses and related methods for staggering power-up of a stack of semiconductor dies

Номер патента: US20160209859A1. Автор: Trismardawi Tanadi. Владелец: US Bank NA. Дата публикации: 2016-07-21.

Manufacturing method for filling a trench or contact hole in a semiconductor device

Номер патента: US5824562A. Автор: Tai-su Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-10-20.

Dispatch method for production line in semiconductor process, storage medium and semiconductor device

Номер патента: US11988969B2. Автор: Chin-Chang Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

Method for forming a contact during the formation of a semiconductor device

Номер патента: US5686357A. Автор: Bradley J. Howard. Владелец: Micron Technology Inc. Дата публикации: 1997-11-11.

Method for providing a self-aligned pad protection in a semiconductor device

Номер патента: US20150357234A1. Автор: Michael Rogalli,Wolfgang Lehnert. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-12-10.

Method for forming a plug or damascene trench on a semiconductor device

Номер патента: US6391763B1. Автор: Hao-Chieh Liu. Владелец: Winbond Electronics Corp. Дата публикации: 2002-05-21.

Method for producing a semiconductor body, semiconductor body and power semiconductor device

Номер патента: WO2024056185A1. Автор: Yulieth Cristina Arango,Giovanni ALFIERI. Владелец: Hitachi Energy Ltd. Дата публикации: 2024-03-21.

Method for fabricating cylinder type capacitor

Номер патента: US6949431B2. Автор: Chang-Goo Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-09-27.

Method for fabricating metal-insulator-metal capacitor of semiconductor device

Номер патента: US20060141705A1. Автор: Sang Chul Shim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2006-06-29.

Transistor of Semiconductor Device and Method for Fabricating the Same

Номер патента: US20090001482A1. Автор: Chun Soo Kang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-01-01.

Transistor of semiconductor device and method for fabricating the same

Номер патента: US8212293B2. Автор: Chun Soo Kang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-07-03.

Transistor of semiconductor device and method for fabricating the same

Номер патента: US20120264274A1. Автор: Chun Soo Kang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-10-18.

Device architecture and method for precision enhancement of vertical semiconductor devices

Номер патента: US09997455B2. Автор: Thomas E. Harrington, III. Владелец: D3 Semiconductor LLC. Дата публикации: 2018-06-12.

Device architecture and method for precision enhancement of vertical semiconductor devices

Номер патента: US09589889B2. Автор: Thomas E. Harrington, III. Владелец: D3 Semiconductor LLC. Дата публикации: 2017-03-07.

Semiconductor device layout structure, method for forming same, and test system

Номер патента: US20240071844A1. Автор: Yizhi Zeng. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-29.

Semiconductor device and method

Номер патента: US12033940B2. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Semiconductor device and method

Номер патента: US09978680B2. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Semiconductor device and method

Номер патента: US09666587B1. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-30.

Method for fabricating a repair fuse box for a semiconductor device

Номер патента: US6458709B2. Автор: Joong Shik Shin,Eul Rak Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-10-01.

Semiconductor device and method for generating integrated circuit layout

Номер патента: US20240014202A1. Автор: Chun-Cheng Ku,Kuan-Jung Jhu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-11.

Methods for removing selected fins that are formed for finfet semiconductor devices

Номер патента: US20150318215A1. Автор: Ruilong Xie,William J. Taylor, Jr.. Владелец: Globalfoundries Inc. Дата публикации: 2015-11-05.

APPARATUSES AND METHODS FOR COUPLING CONTACT PADS TO A CIRCUIT IN A SEMICONDUCTOR DEVICE

Номер патента: US20190304855A1. Автор: Igeta Masahiko,Terui Yoshimi. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464A3. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2009-06-17.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464B1. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2017-11-29.

Method for fabricating a capacitor lower electrode in a semiconductor device

Номер патента: KR100308203B1. Автор: 박주욱. Владелец: 윤종용. Дата публикации: 2001-11-02.

Method for forming a dielectric film of a capacitor in semiconductor device

Номер патента: EP0540240A1. Автор: Kenji Okamura. Владелец: NEC Corp. Дата публикации: 1993-05-05.

Method for fabricating capacitor of semiconductor device

Номер патента: US7666738B2. Автор: Dong-Woo Shin,Jin-woong Kim,Jong-Min Lee,Hyung-Bok Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-02-23.

Method of fabricating capacitor for semiconductor device

Номер патента: US6190993B1. Автор: Byung Jae Choi,Soo Jin Seo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-02-20.

Structure and formation method of semiconductor device structure

Номер патента: US09905633B1. Автор: Chi-Han YANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-27.

Method for manufacturing capacitor of semiconductor device

Номер патента: US7875515B2. Автор: Sang Man Bae,Hyoung Ryeun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-01-25.

Inductor for semiconductor device and method for fabricating the same

Номер патента: US20100164060A1. Автор: Ji-Houn Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-07-01.

Method for fabricating polycide dual gate in semiconductor device

Номер патента: US20010006832A1. Автор: Jong Bae,Ji Park,Dong Sohn. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-07-05.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Power semiconductor device and method for fabricating the same

Номер патента: US09425308B2. Автор: Wen-Chia LIAO,Li-Fan Lin. Владелец: Delta Electronics Inc. Дата публикации: 2016-08-23.

Gate-all-around nanowire device and method for manufacturing such a device

Номер патента: US09991261B2. Автор: Jerome Mitard. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2018-06-05.

Method of manufacturing high-voltage semiconductor device and low-voltage semiconductor device

Номер патента: US7910466B2. Автор: Choul Joo Ko. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2011-03-22.

High-voltage semiconductor device and method of manufacturing the same

Номер патента: US20070155107A1. Автор: Choul Ko. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Shielding structure for a vertical iii-nitride semiconductor device

Номер патента: EP4391065A1. Автор: Hu Liang,Stefaan Decoutere,Karen GEENS. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Shielding structure for a vertical iii-nitride semiconductor device

Номер патента: WO2024132524A1. Автор: Hu Liang,Jens Baringhaus,Kevin Dannecker,Stefaan Decoutere,Karen GEENS. Владелец: IMEC VZW. Дата публикации: 2024-06-27.

Method for fabricating storage node contact hole of semiconductor device

Номер патента: US7371636B2. Автор: Ki-Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-05-13.

Method For Fabricating Of Shallow Trench Isolation Of Semiconductor Device

Номер патента: KR100561522B1. Автор: 신문정. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-03-16.

Method for fabricating multi-layer metal interconnection of semiconductor device

Номер патента: KR100267106B1. Автор: 박주성,조찬형. Владелец: 윤종용. Дата публикации: 2000-10-02.

Method for fabricating multi-layer metal interconnection of semiconductor device

Номер патента: KR100188001B1. Автор: 유왕희. Владелец: 페어차일드코리아반도체주식회사. Дата публикации: 1999-06-01.

Method For Fabricating Of Shallow Trench Isolation Of Semiconductor Device

Номер патента: KR100561520B1. Автор: 신문정. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-03-17.

Method for fabricating storage node contact hole of semiconductor device

Номер патента: CN1983553A. Автор: 南基元. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-06-20.

Method for fabricating of double oxide layer of semiconductor device

Номер патента: KR20010111063A. Автор: 이문희,이광욱,이근택,정승필,장규환,이선정,박임수. Владелец: 윤종용. Дата публикации: 2001-12-15.

Anti-fuse structure and method for fabricating same, as well as semiconductor device

Номер патента: US11043450B2. Автор: Chih Cheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-06-22.

Anti-fuse structure and method for fabricating same, as well as semiconductor device

Номер патента: US11798881B2. Автор: Chih Cheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-10-24.

Semiconductor device and method of fabricating the same

Номер патента: US20240172571A1. Автор: Woo Tae Lee. Владелец: SK hynix Inc. Дата публикации: 2024-05-23.

Anti-fuse structure and method for fabricating same, as well as semiconductor device

Номер патента: US20200357741A1. Автор: Chih Cheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2020-11-12.

Methods for performing a gate cut last scheme for FinFET semiconductor devices

Номер патента: US09991361B2. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-05.

Method for forming storage node contact plug of semiconductor device

Номер патента: US20040264132A1. Автор: Yun-Seok Cho,Yu-Chang Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-12-30.

Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers

Номер патента: US3953265A. Автор: Roderic Kermit Hood. Владелец: International Business Machines Corp. Дата публикации: 1976-04-27.

Method for singulating an assemblage into semiconductor chips, and semiconductor chip

Номер патента: US20180047628A1. Автор: Mathias Kaempf. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-02-15.

Method for dividing a composite into semiconductor chips, and semiconductor chip

Номер патента: US20160204032A1. Автор: Mathias Kaempf. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2016-07-14.

Method for dividing a composite into semiconductor chips, and semiconductor chip

Номер патента: US9873166B2. Автор: Mathias Kaempf. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-01-23.

Method for fabricating semiconductor device

Номер патента: US20030113993A1. Автор: Min-Suk Lee,Sung-Kwon Lee,Sang-Ik Kim,Chang-Youn Hwang,Weon-Joon Suh. Владелец: Individual. Дата публикации: 2003-06-19.

Method for drying semiconductor substrates

Номер патента: US4412388A. Автор: Mikio Takagi,Hajime Kamioka. Владелец: Fujitsu Ltd. Дата публикации: 1983-11-01.

Conductive layer stack and semiconductor device with a gate contact

Номер патента: US11876051B2. Автор: Che-Hsien LIAO,Yueh Hsu. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-16.

Method for fabricating a dual metal gate for a semiconductor device

Номер патента: US6514827B2. Автор: Se Aug Jang,Tae Kyun Kim,Tae Ho Cha,In Seok Yeo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-02-04.

Method for fabricating a dual metal gate for a semiconductor device

Номер патента: US20020086445A1. Автор: Se Aug Jang,Tae Kyun Kim,Tae Ho Cha,In Seok Yeo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-04.

System and method for inspection and metrology of four sides of semiconductor devices

Номер патента: EP4211714A1. Автор: Bert Vangilbergen,Harry Paredaens,Maarten Brocatus,Foon Ming Chan. Владелец: KLA Corp. Дата публикации: 2023-07-19.

Method for fabricating recess gate and recess channel in semiconductor device

Номер патента: CN101399194B. Автор: 赵瑢泰,金殷美. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-22.

Method for manufacturing metal layer for capacitor electrode of semiconductor device

Номер патента: KR100475045B1. Автор: 이상협,김영선. Владелец: 삼성전자주식회사. Дата публикации: 2005-05-27.

SYSTEM AND METHOD FOR INSPECTION AND METROLOGY OF FOUR SIDES OF SEMICONDUCTOR DEVICES

Номер патента: US20220146438A1. Автор: Vangilbergen Bert,Paredaens Harry,Brocatus Maarten,Chan Foon Ming. Владелец: . Дата публикации: 2022-05-12.

Multi-layered moiré targets and methods for using the same in measuring misregistration of semiconductor devices

Номер патента: US20210233821A1. Автор: YOEL Feler,Mark Ghinovker. Владелец: KLA Corp. Дата публикации: 2021-07-29.

MOIRÉ TARGET AND METHOD FOR USING THE SAME IN MEASURING MISREGISTRATION OF SEMICONDUCTOR DEVICES

Номер патента: US20200249585A1. Автор: GHINOVKER Mark. Владелец: . Дата публикации: 2020-08-06.

Method for manufacturing of metal line contact plug of semiconductor device

Номер патента: KR100444307B1. Автор: 권판기,이상익. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-08-16.

Method for manufacturing of metal line contact plug of semiconductor device

Номер патента: KR100442962B1. Автор: 권판기,안기철,정종구. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-08-04.

Method for forming titanium silicide ohmic contact layer of semiconductor device

Номер патента: KR100626741B1. Автор: 손현철,엄장웅. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-09-22.

Method for measuring leakage current in junction region of semiconductor device

Номер патента: CN1079168C. Автор: 张世亿,宋泰植. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-02-13.

Method for creating vacuum at load-lock chamber of semiconductor device fabricating equipment

Номер патента: KR100470998B1. Автор: 박봉진. Владелец: 삼성전자주식회사. Дата публикации: 2005-03-10.

Method for forming gate electrode and salicide contact of semiconductor devices

Номер патента: KR100315451B1. Автор: 김서원. Владелец: 아남반도체 주식회사. Дата публикации: 2001-11-28.

Method for forming contact hole with different depth of semiconductor device

Номер патента: KR100257771B1. Автор: 서원준. Владелец: 현대전자산업주식회사. Дата публикации: 2000-06-01.

Method for manufacturing a shallow trench isolation layer of semiconductor device

Номер патента: KR100501549B1. Автор: 이대근. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-07-18.

Method for forming contact hole in metal wiring of semiconductor device

Номер патента: KR950015589A. Автор: 서동량. Владелец: 금성일렉트론 주식회사. Дата публикации: 1995-06-17.

Moire target and method for using the same in measuring offset of semiconductor device

Номер патента: CN113330534B. Автор: M·吉诺乌克. Владелец: KLA Tencor Corp. Дата публикации: 2023-01-13.

Method for removing polymer residue from metal lines of semiconductor device

Номер патента: US20090029548A1. Автор: Chung-Kyung Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-01-29.

Apparatus and method for detecting failure in a mechanical press

Номер патента: US20200047442A1. Автор: Kwok Pun LAW,Hong Yeung LI,Cho Wai LEUNG. Владелец: ASM TECHNOLOGY SINGAPORE PTE LTD. Дата публикации: 2020-02-13.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US20180114724A1. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-26.

Method for manufacturing etch stop areas for contacting semiconductor devices

Номер патента: US10354917B2. Автор: Dmitri Alex Tschumakow,Claus Dahl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2019-07-16.

CHEMICAL MECHANICAL POLISHING SLURRY, METHOD FOR CHEMICAL MECHANICAL POLISHING AND MANUFACTURING METHOD OF SEMICONDUCTOR STRUCTURE

Номер патента: US20170338123A1. Автор: Huang Shu-Hao. Владелец: . Дата публикации: 2017-11-23.

METHOD FOR FORMING A VIA CONTACTING SEVERAL LEVELS OF SEMICONDUCTOR LAYERS

Номер патента: US20130196500A1. Автор: Batude Perrine,Morand Yves. Владелец: . Дата публикации: 2013-08-01.

APPARATUSES AND RELATED METHODS FOR STAGGERING POWER-UP OF A STACK OF SEMICONDUCTOR DIES

Номер патента: US20150070056A1. Автор: Tanadi Trismardawi. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2015-03-12.

APPARATUSES AND RELATED METHODS FOR STAGGERING POWER-UP OF A STACK OF SEMICONDUCTOR DIES

Номер патента: US20160209859A1. Автор: Tanadi Trismardawi. Владелец: . Дата публикации: 2016-07-21.

APPARATUSES AND RELATED METHODS FOR STAGGERING POWER-UP OF A STACK OF SEMICONDUCTOR DIES

Номер патента: US20170336820A1. Автор: Tanadi Trismardawi. Владелец: . Дата публикации: 2017-11-23.

APPARATUSES AND RELATED METHODS FOR STAGGERING POWER-UP OF A STACK OF SEMICONDUCTOR DIES

Номер патента: US20180364749A1. Автор: Tanadi Trismardawi. Владелец: . Дата публикации: 2018-12-20.

Method for forming pad region and fuse region of semiconductor

Номер патента: KR100680935B1. Автор: 조형철. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-08.

Method for etching photoresistive layer deposited on substrat of semiconductor

Номер патента: CN1480996A. Автор: ,陈中泰. Владелец: Macronix International Co Ltd. Дата публикации: 2004-03-10.

Method for removing bubbles from chip adhesive layer of semiconductor package

Номер патента: CN112908865A. Автор: 陈天翼,罗玉婷,厉玉生. Владелец: Shanghai Maoying New Energy Technology Co ltd. Дата публикации: 2021-06-04.

Method for the simultaneous grinding of a plurality of semiconductor wafers

Номер патента: CN101269476B. Автор: G·皮奇,M·克斯坦,H·a·d·施普林. Владелец: PETER WOLTERS GmbH. Дата публикации: 2010-12-08.

Apparatus and Method for measuring the accumulation of ecthing waste of semiconductor

Номер патента: KR102498907B1. Автор: 신찬수. Владелец: 신찬수. Дата публикации: 2023-02-09.

Method for covalently grafting dielectric film on surface of semiconductor

Номер патента: CN112103176A. Автор: 李明,曹亮亮,吴蕴雯. Владелец: Shanghai Jiaotong University. Дата публикации: 2020-12-18.

Washing solution and washing method for semiconductor substrate

Номер патента: US20230402275A1. Автор: Atsushi Mizutani. Владелец: Fujifilm Corp. Дата публикации: 2023-12-14.

Method and apparatus for fabricating a barrier metal layer of a semiconductor device

Номер патента: KR100528964B1. Автор: 고상태. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-11-15.

Apparatus and Method For Generating Test Pattern Data For Testing Semiconductor Device

Номер патента: US20080040639A1. Автор: Jong Koo Kang. Владелец: UniTest Inc. Дата публикации: 2008-02-14.

Semiconductor device and method

Номер патента: US11855163B2. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor device and method

Номер патента: US20240113183A1. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-04.

Production method of T-shaped gate electrode in semiconductor device

Номер патента: US5304511A. Автор: Masayuki Sakai. Владелец: Mitsubishi Electric Corp. Дата публикации: 1994-04-19.

Method for producing laminate, producing apparatus for laminate, laminate, and semiconductor device

Номер патента: US20240177993A1. Автор: Hiroshi Hashigami. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-05-30.

Method for reduction of filaments between electrodes

Номер патента: US4878996A. Автор: Howard L. Tigelaar,Allan T. Mitchell,Kalipatnam V. Rao,Shaym G. Garg. Владелец: Texas Instruments Inc. Дата публикации: 1989-11-07.

Method for etching dual damascene structures in organosilicate glass

Номер патента: US6410437B1. Автор: Janet M. Flanner,Ian Morey. Владелец: Lam Research Corp. Дата публикации: 2002-06-25.

Methods for performing a gate cut last scheme for finfet semiconductor devices

Номер патента: US20170345913A1. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-30.

Method for manufacturing molecular beam epitaxial growth device and optical semiconductor device

Номер патента: KR960012304A. Автор: . Владелец: . Дата публикации: 1996-04-20.

It is used to form the method for pattern and the method using this method manufacturing semiconductor devices

Номер патента: CN110323139A. Автор: 千宰协. Владелец: Hynix Semiconductor Inc. Дата публикации: 2019-10-11.

METHODS FOR PERFORMING A GATE CUT LAST SCHEME FOR FINFET SEMICONDUCTOR DEVICES

Номер патента: US20170345913A1. Автор: Huang Haigou,Wu Xusheng,DAI Xintuo. Владелец: . Дата публикации: 2017-11-30.

Method for CVD and apparatus for performing the same in semiconductor device processing

Номер патента: KR100375102B1. Автор: 김종우,김광식,이희태,박윤세. Владелец: 삼성전자주식회사. Дата публикации: 2003-03-08.

Production method for copolymer film, copolymer film for med therefrom, and semiconductor device using said copolymer film

Номер патента: CN1269866C. Автор: 林喜宏,川原润. Владелец: NEC Corp. Дата публикации: 2006-08-16.

Method for manufacturing peripheral dummy gate of transistor for testing semiconductor device

Номер патента: KR100223941B1. Автор: 남상혁. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on substrate

Номер патента: AU742589B2. Автор: I-Ming Chen. Владелец: Evergrand Holdings Ltd. Дата публикации: 2002-01-10.

Method for forming contact hole for dual damascene interconnection in semiconductor device

Номер патента: US7276439B2. Автор: Kang-Hyun Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-10-02.

Method for reducing line edge roughness for trench etch, and semiconductor device thereof

Номер патента: CN100477135C. Автор: P·勒温哈德特,D·乐,H·H·朱,E·沃加纳. Владелец: Lam Research Corp. Дата публикации: 2009-04-08.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: KR100353223B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2002-09-18.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on a substrate

Номер патента: KR100367809B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2003-01-10.

METHODS FOR FORMING A SILICON GERMANIUM TIN LAYER AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190013199A1. Автор: Tolle John,Margetis Joe,Bhargava Nupur. Владелец: . Дата публикации: 2019-01-10.

METHOD FOR SELECTIVELY DEPOSITING A GROUP IV SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190027584A1. Автор: Tolle John,Margetis Joe. Владелец: . Дата публикации: 2019-01-24.

METHOD FOR FORMING A CONTACT ON A SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150048431A1. Автор: GRIEB Michael,Suenner Thomas. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2015-02-19.

METHODS FOR DEPOSITING A DOPED GERMANIUM TIN SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190067004A1. Автор: Kohen David,Profijt Harald Benjamin. Владелец: . Дата публикации: 2019-02-28.

APPARATUSES AND METHODS FOR ARRANGING THROUGH-SILICON VIAS AND PADS IN A SEMICONDUCTOR DEVICE

Номер патента: US20200212008A1. Автор: KITANO Tomohiro. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-07-02.

METHOD FOR PROVIDING A SELF-ALIGNED PAD PROTECTION IN A SEMICONDUCTOR DEVICE

Номер патента: US20150357234A1. Автор: Lehnert Wolfgang,Rogalli Michael. Владелец: . Дата публикации: 2015-12-10.

METHOD FOR ELIMINATING DISLOCATIONS IN ACTIVE AREA AS WELL AS SEMICONDUCTOR DEVICE

Номер патента: US20190341262A1. Автор: Zhou Jun,Li Yun,XU Jingjing,LUO Qingwei. Владелец: . Дата публикации: 2019-11-07.

Method for forming a active cell isolation layer of a semiconductor device

Номер патента: KR100453345B1. Автор: 유지환. Владелец: 동부전자 주식회사. Дата публикации: 2004-10-15.

Methods for forming a silicon germanium tin layer and related semiconductor device structures

Номер патента: US10685834B2. Автор: John Tolle,Joe Margetis,Nupur Bhargava. Владелец: ASM IP Holding BV. Дата публикации: 2020-06-16.

Method for selectively depositing a Group IV semiconductor and related semiconductor device structures

Номер патента: US11018002B2. Автор: John Tolle,Joe Margetis. Владелец: ASM IP Holding BV. Дата публикации: 2021-05-25.

Method for manufacturing a spin on glass film in a semiconductor device

Номер патента: KR100246779B1. Автор: 권혁진,홍상기,전상호. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-15.

Method for forming a shallow trench isolation structure of the semiconductor device

Номер патента: KR100713345B1. Автор: 장준식. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-05-04.

Method for forming interconnection layer and contact hole layer in semiconductor device

Номер патента: CN112599473A. Автор: 张文广,朱建军. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2021-04-02.

Method for forming barrier layer fabricating metal line in a semiconductor device

Номер патента: KR100781456B1. Автор: 주성중. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-12-03.

Method for manufacturing wine glass type contact hole of the semiconductor device

Номер патента: KR100781455B1. Автор: 강병주. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-12-03.

Method for forming oxide film in SiC semiconductor and SiC semiconductor device

Номер патента: JP4470333B2. Автор: 弘之 松波,恒暢 木本,弘 塩見. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2010-06-02.

METHOD FOR MAKING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831A1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1985-01-11.

METHOD FOR SELF-ALIGNING METAL CONTACTS ON A SELF-ALIGNED SEMICONDUCTOR DEVICE.

Номер патента: FR2663157B1. Автор: Collot Philippe,Schmidt Paul Erick. Владелец: Thomson CSF SA. Дата публикации: 1992-08-07.

The method for controlling the wafer bow in III-V type semiconductor devices

Номер патента: CN109103099A. Автор: S.肯南,P.W.金,S.E.朴,M.唐加尔,万建伟. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-12-28.

Method for low stress flip-chip assembly of fine-pitch semiconductor devices

Номер патента: US8530360B2. Автор: Abram M. Castro. Владелец: Texas Instruments Inc. Дата публикации: 2013-09-10.

Method for forming a high quality insulation layer on a semiconductor device

Номер патента: US20100261355A1. Автор: Sang Tae Ahn,Seung Joon Jeon,Ja Chun Ku. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-10-14.

Method for forming a self-aligned contact hole in a semiconductor device

Номер патента: US6808975B2. Автор: Jun Seo,Jong-Heui Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-10-26.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022A1. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-11-21.

Method for forming material layer with inclined side wall and semiconductor device

Номер патента: CN110828307A. Автор: 王珏,陈政,钟志鸿,钟荣祥,武凌. Владелец: SMIC Manufacturing Shaoxing Co Ltd. Дата публикации: 2020-02-21.

Method for forming a refractory-metal-silicide layer in a semiconductor device

Номер патента: US6548421B1. Автор: Kaoru Mikagi,Ken Inoue,Nobuaki Hamanaka. Владелец: NEC Corp. Дата публикации: 2003-04-15.

Method for examining the defect of gate oxide layer in semiconductor device

Номер патента: KR100591149B1. Автор: 나유석. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-19.

Method for cleaning the inside of a pipe of a semiconductor device manufacturing apparatus

Номер патента: JP2910761B1. Автор: 康 佐々木. Владелец: NEC Corp. Дата публикации: 1999-06-23.

METHOD FOR PRODUCING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831B1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1988-10-14.

Method for forming ohmic contact on silicon-silicon interface in semiconductor device

Номер патента: KR100336042B1. Автор: 변광선,이상은,정성희. Владелец: 윤종용. Дата публикации: 2002-05-08.

Method for forming a contact on a semiconductor substrate and semiconductor device

Номер патента: WO2013131690A1. Автор: Michael Grieb,Thomas Suenner. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2013-09-12.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022C2. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-09-19.

Method for adjusting transport position of wafer, adjustment apparatus, and semiconductor device

Номер патента: WO2023035434A1. Автор: 刘洋. Владелец: 长鑫存储技术有限公司. Дата публикации: 2023-03-16.

Method for forming a contact during the formation of a semiconductor device

Номер патента: US6274936B1. Автор: Bradley J. Howard. Владелец: Micron Technology Inc. Дата публикации: 2001-08-14.

Method for protecting semiconductor integrated circuit against reverse engineering and semiconductor device

Номер патента: CN111610425A. Автор: 村上洋树. Владелец: Winbond Electronics Corp. Дата публикации: 2020-09-01.

Method for forming an ultra thin dielectric film and a semiconductor device incorporating the same

Номер патента: US20020043695A1. Автор: Vishnu Agarwal,Garry Mercaldi. Владелец: Individual. Дата публикации: 2002-04-18.

Method for manufacturing a shallow trench isolation layer of the semiconductor device

Номер патента: KR100503344B1. Автор: 김성래. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-07-26.

Fabrication method for chip size package and non-chip size package semiconductor devices

Номер патента: US7632711B2. Автор: Makoto Terui. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2009-12-15.

System and method for automatically identifying defect-based test coverage gaps in semiconductor devices

Номер патента: IL303364A. Автор: . Владелец: KLA Corp. Дата публикации: 2023-08-01.

Fin field effect transistor and method for fabricating the same

Номер патента: US09704752B1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-11.

Semiconductor device structure and method for fabricating the same

Номер патента: US09711612B2. Автор: Huicai Zhong,Qingqing Liang,Haizhou Yin. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-07-18.

Method for forming a DRAM semiconductor device with a sense amplifier

Номер патента: US20070148850A1. Автор: Dong Chul Koo. Владелец: Individual. Дата публикации: 2007-06-28.

Method for fabricating semiconductor device having radiation hardened insulators

Номер патента: US20100035393A1. Автор: John M. Aitken,Ethan H. Cannon. Владелец: Individual. Дата публикации: 2010-02-11.

Semiconductor device and method for fabricating the same

Номер патента: US20230215855A1. Автор: Chien-Ting Lin,Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Lin,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2023-07-06.

Formation method of semiconductor device structure with gate stacks

Номер патента: US12132111B2. Автор: Tsung-Yu CHIANG,Ya-Wen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Semiconductor device and method for fabricating the same

Номер патента: US20240234319A9. Автор: Jin Kyu Kim,Jae Hyun Ahn,Ho Jun Kim,So Ra You,Jee Woong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

Semiconductor device and method for fabricating the same

Номер патента: US20240136290A1. Автор: Jin Kyu Kim,Jae Hyun Ahn,Ho Jun Kim,So Ra You,Jee Woong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-25.

Semiconductor devices and methods for fabricating the same

Номер патента: US20230069612A1. Автор: LAN Yao,Yanwei Shi,Huidan Hou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-03-02.

Method for fabricating semiconductor device with reduced wafer edge defects

Номер патента: US10522652B1. Автор: Chih-Wei Lin,Po-Wen Su,Wei-Chih Lai,Tai-Yen Lin. Владелец: United Microelectronics Corp. Дата публикации: 2019-12-31.

Power line layout structure of semiconductor device and method for forming the same

Номер патента: US09793210B2. Автор: Jae Hwan Kim. Владелец: SK hynix Inc. Дата публикации: 2017-10-17.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US10373876B2. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2019-08-06.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US20180233416A1. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2018-08-16.

Semiconductor device with programmable structure and method for fabricating the same

Номер патента: US20230269935A1. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-24.

Semiconductor device and method for fabricating the same

Номер патента: US20220085164A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-17.

Semiconductor device and method for fabricating the same

Номер патента: US11631738B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-04-18.

Structures and methods for source-down vertical semiconductor device

Номер патента: US12094967B2. Автор: Gordon M. Grivna. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-09-17.

Method for fabricating silicide layers for semiconductor device

Номер патента: US7446008B2. Автор: Dong Yeal Keum. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-11-04.

Semiconductor device with programmable structure and method for fabricating the same

Номер патента: US20240334687A1. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-03.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US09978647B2. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2018-05-22.

Semiconductor devices and methods for fabricating the same

Номер патента: US09812448B2. Автор: Wan-Don Kim,Oh-seong Kwon,Sang-Jin Hyun,Hoon-joo Na,Jin-Kyu Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-11-07.

Semiconductor device and method for fabricating the same

Номер патента: US20170194422A1. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2017-07-06.

Semiconductor Device and Method for Producing a Semiconductor Device

Номер патента: US20200185494A1. Автор: Rolf Weis,Ahmed Mahmoud,Richard Hensch. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2020-06-11.

Semiconductor device and method for fabricating the same

Номер патента: US09852952B2. Автор: Chih-Chung Wang,Shih-Yin Hsiao,Shu-Wen Lin,Wen-Fang Lee,Nien-Chung Li. Владелец: United Microelectronics Corp. Дата публикации: 2017-12-26.

Method for fabricating capacitor of semiconductor device

Номер патента: US20020061622A1. Автор: Young Chen. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-05-23.

Metal line of semiconductor device and method for fabricating the same

Номер патента: US20020058401A1. Автор: CHANG Kim. Владелец: LG Semicon Co Ltd. Дата публикации: 2002-05-16.

Semiconductor device and method for fabricating thereof

Номер патента: US12113035B2. Автор: Youn Soo Kim,Tae Kyun Kim,Jin-Su Lee,Hong Sik Chae,Youn Joung CHO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-08.

Method for forming a capacitor of a semiconductor device

Номер патента: US20030109103A1. Автор: Kyong Kim,Ho-Jung Sun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Method for fabricating semiconductor device

Номер патента: US20020106816A1. Автор: Yoshihiro Mori,Yasutoshi Okuno,Akihiko Tsuzumitani. Владелец: Individual. Дата публикации: 2002-08-08.

Method for production of semiconductor device

Номер патента: US20030022433A1. Автор: Hirozaku Ejiri. Владелец: Sony Corp. Дата публикации: 2003-01-30.

Fabrication of semiconductor structures

Номер патента: US09704757B1. Автор: Daniele Caimi,Lukas Czornomaz,Jean Fompeyrine,Vladimir DJARA,Veeresh Deshpande. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Semiconductor devices having stressor regions and related fabrication methods

Номер патента: US20110303954A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2011-12-15.

Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO

Номер патента: US20070072424A1. Автор: Jung-hyun Lee,Sang-Bong Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-29.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089A1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2003-12-17.

Method for fabricating semiconductor devices

Номер патента: US7776622B2. Автор: Kouichi Nagai. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-08-17.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089B1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2007-12-05.

Method for fabricating a semiconductor device including fin relaxation, and related structures

Номер патента: EP3140858A1. Автор: Pierre Morin,Frederic Allibert. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-03-15.

Method for fabricating a semiconductor device including fin relaxation, and related structures

Номер патента: US09620626B2. Автор: Pierre Morin,Frederic Allibert. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-04-11.

Package structure and method for fabricating same

Номер патента: US20240047437A1. Автор: Mingxing ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Method for fabricating lateral semiconductor device

Номер патента: US7589347B2. Автор: John Henry Jefferson,Geoffrey Richard Nash,Keith James Nash. Владелец: Qinetiq Ltd. Дата публикации: 2009-09-15.

Method for fabricating semiconductor device

Номер патента: US09461172B2. Автор: HAIYANG Zhang,Jia Ren. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2016-10-04.

Interconnection structure, semiconductor device with interconnection structure and method for fabricating the same

Номер патента: US20230046051A1. Автор: Jong Su Kim. Владелец: SK hynix Inc. Дата публикации: 2023-02-16.

Method for fabrication of semiconductor device

Номер патента: US12062722B2. Автор: Shunpei Yamazaki,Masahiro Takahashi,Tatsuya Honda,Takehisa Hatano. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2024-08-13.

Bed structure underlying electrode pad of semiconductor device and method for manufacturing same

Номер патента: US20010040242A1. Автор: Noboru Koike. Владелец: Toshiba Corp. Дата публикации: 2001-11-15.

Method for fabricating stack structure of semiconductor packages

Номер патента: US8420521B2. Автор: Han-Ping Pu,Cheng-Hsu Hsiao,Ho-Yi Tsai,Fang-Lin Tsai. Владелец: Siliconware Precision Industries Co Ltd. Дата публикации: 2013-04-16.

Semiconductor structure and method for fabricating semiconductor structure

Номер патента: US20230007832A1. Автор: Yutong SHEN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Method for Fabricating Semiconductor Elements

Номер патента: US20090298233A1. Автор: Chin-Ti Chen. Владелец: Powertech Technology Inc. Дата публикации: 2009-12-03.

Method for fabricating a semiconductor package, semiconductor package and embedded pcb module

Номер патента: US20210313273A1. Автор: Frank Daeche,Richard Knipper. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2021-10-07.

Method for fabricating a bipolar transistor having self-aligned emitter contact

Номер патента: US09508824B2. Автор: Alexander Fox,Bernd Heinemann,Steffen Marschmeyer. Владелец: IHP GMBH. Дата публикации: 2016-11-29.

Protective structures for bond wires, methods for forming same, and test apparatus including such structures

Номер патента: US20020031847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-03-14.

Method for fabricating a semiconductor chip panel

Номер патента: US09953846B2. Автор: Edward Fuergut,Daniel Porwol. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-04-24.

Method for manufacturing semiconductor device

Номер патента: US09893194B2. Автор: Yoshitaka Yamamoto,Hideomi Suzawa,Takayuki Inoue,Tetsuhiro Tanaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-02-13.

Method for fabricating thin photovoltaic cells

Номер патента: US09484487B2. Автор: Alex Masolin,Maria Recaman Payo. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2016-11-01.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US20240290752A1. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2024-08-29.

Method for forming a silicide layer of semiconductor device

Номер патента: US20030119309A1. Автор: Jeong Lee,Chang Ryoo,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-26.

Method for manufacturing semiconductor device including inline inspection

Номер патента: US09406571B2. Автор: Takuya Yoshida,Kazutoyo Takano. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-08-02.

Method and system supporting production of a semiconductor device using a plurality of fabrication processes

Номер патента: EP2056338A3. Автор: Masood Syaed. Владелец: Broadcom Corp. Дата публикации: 2013-03-06.

Method for fabricating semiconductor device and method for operating the same

Номер патента: US20170186948A1. Автор: Kyung-Wan KIM. Владелец: SK hynix Inc. Дата публикации: 2017-06-29.

Method for transfer of semiconductor devices

Номер патента: US09871023B2. Автор: Andrew Huska,Cody Peterson,Clinton Adams,Sean Kupcow. Владелец: Rohinni LLC. Дата публикации: 2018-01-16.

Method for fabricating semiconductor device and method for operating the same

Номер патента: US09837148B2. Автор: Kyung-Wan KIM. Владелец: SK hynix Inc. Дата публикации: 2017-12-05.

Method for forming thin films of semiconductor devices

Номер патента: US20030124760A1. Автор: Seok Lee,Sung Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-07-03.

Cutting method and method of manufacturing semiconductor device

Номер патента: US20050012193A1. Автор: Kiyoshi Mita,Koujiro Kameyama. Владелец: Individual. Дата публикации: 2005-01-20.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US20050054176A1. Автор: Jong Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-03-10.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US7081396B2. Автор: Jong Goo Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-07-25.

Method for forming step channel of semiconductor device

Номер патента: US20060141800A1. Автор: Young Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for forming step channel of semiconductor device

Номер патента: US7309656B2. Автор: Young Man Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Etching methods, etching apparatus and methods for fabricating semiconductor devices

Номер патента: US20030036285A1. Автор: Takashi Kokubun. Владелец: Seiko Epson Corp. Дата публикации: 2003-02-20.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Methods for forming on-chip capacitor structures in semiconductor devices

Номер патента: US12108603B2. Автор: LIANG Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-10-01.

Method for producing optoelectronic semiconductor devices

Номер патента: US09966370B2. Автор: Simon Jerebic,Frank Singer,Jürgen Moosburger,Markus Pindl. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-05-08.

Fabrication of semiconductor device using alternating high and low temperature layers

Номер патента: US09911600B2. Автор: Robert Beach,Paul Bridger. Владелец: Infineon Technologies North America Corp. Дата публикации: 2018-03-06.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09837376B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2017-12-05.

Compositions and methods for semiconductor processing and devices formed therefrom

Номер патента: US09793188B2. Автор: Arjun Mendiratta. Владелец: Equity Solar Inc. Дата публикации: 2017-10-17.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09490231B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2016-11-08.

Method for fabricating semiconductor device

Номер патента: US20170069503A1. Автор: Kazuyuki Higashi,Mika Fujii,Kazumichi Tsumura,Takashi Shirono. Владелец: Toshiba Corp. Дата публикации: 2017-03-09.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US9000560B2. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-04-07.

Semiconductor device fabrication methods with enhanced control in recessing processes

Номер патента: US20130078791A1. Автор: Robert J. Miller,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2013-03-28.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US20150179526A1. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-06-25.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US09947553B2. Автор: Mamoru Yamagami,Yasuhiro Fuwa. Владелец: ROHM CO LTD. Дата публикации: 2018-04-17.

Method for manufacturing semiconductor devices having a metallisation layer

Номер патента: US09887152B2. Автор: Rudolf Zelsacher,Paul Ganitzer. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-02-06.

Method for manufacturing slanted copper nanorods

Номер патента: US09493345B2. Автор: Chang-Koo Kim,Sung-Woon Cho. Владелец: Ajou University Industry Academic Cooperation Foundation. Дата публикации: 2016-11-15.

Semiconductor device and production method therefor

Номер патента: US09425120B2. Автор: Akira Nagai,Kazutaka Honda,Makoto Satou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2016-08-23.

Method for controlling sheet resistance of poly in fabrication of semiconductor device

Номер патента: US20090077509A1. Автор: Nan Soon CHOI. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-03-19.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20220319908A1. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Fuse of semiconductor device and method for fabricating the same

Номер патента: US20110001212A1. Автор: Buem-Suck Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-01-06.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US8815652B2. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2014-08-26.

Tungsten plug structure of semiconductor device and method for forming the same

Номер патента: US20070102824A1. Автор: In Chun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Dipping detecting device for fabricating a semiconductor device

Номер патента: US20080090311A1. Автор: Youn-Sung Ko,Yong-Kyun Sun,Dong-joo ROH. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-04-17.

Formation of semiconductor devices including electrically programmable fuses

Номер патента: US20200027830A1. Автор: Chih-Chao Yang,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-01-23.

Formation of semiconductor devices including electrically programmable fuses

Номер патента: US20200058587A1. Автор: Chih-Chao Yang,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2020-02-20.

Semiconductor device and method for fabricating the same

Номер патента: US09887238B1. Автор: Su Xing,Wanxun He. Владелец: United Microelectronics Corp. Дата публикации: 2018-02-06.

Method for producing optoelectronic semiconductor devices and optoelectronic semiconductor device

Номер патента: US09780078B2. Автор: Lutz Hoeppel. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2017-10-03.

Semiconductor device, and method for manufacturing semiconductor device

Номер патента: US09595584B2. Автор: Katsuhisa Nagao. Владелец: ROHM CO LTD. Дата публикации: 2017-03-14.

Method for manufacturing semiconductor device

Номер патента: US20170076984A1. Автор: Hisashi Onodera. Владелец: Toshiba Corp. Дата публикации: 2017-03-16.

Method for fabricating semiconductor device using tilted etch process

Номер патента: US20220076959A1. Автор: Huan-Yung Yeh. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-10.

Method for forming field oxide film of semiconductor device

Номер патента: US6013561A. Автор: Jong Choul Kim,Byung Jin Cho,Se Aug Jang. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-01-11.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

System and method for operating an electric power converter

Номер патента: EP2590211A3. Автор: Robert Gregory Wagoner,Allen Michael Ritter,Paul Stephen Pate. Владелец: General Electric Co. Дата публикации: 2018-01-17.

Backside and sidewall metallization of semiconductor devices

Номер патента: US20240194486A1. Автор: Wen Hung HUANG,Yufu Liu,Kuan-Hsiang Mao,Che Ming Fang. Владелец: NXP BV. Дата публикации: 2024-06-13.

Semiconductor device and method for fabricating the same

Номер патента: US09520499B2. Автор: Sung-min Kim,Dong-Kyu Lee,Ji-su Kang,Dong-Ho Cha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-13.

Semiconductor device and method for fabricating the same

Номер патента: US09337057B2. Автор: Oh-seong Kwon,Sang-Jin Hyun,Sung-Kee Han,Moon-Kyu Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-05-10.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US9023253B2. Автор: Xiaoli Liu,Delin Li,Ran Guo. Владелец: Soltrium Technology Ltd. Дата публикации: 2015-05-05.

Method for the fabrication of bonding solder layers on metal bumps with improved coplanarity

Номер патента: US20130052817A1. Автор: Tim Hsiao. Владелец: WIN Semiconductors Corp. Дата публикации: 2013-02-28.

Method for fabricating semiconductor device

Номер патента: US20240213023A1. Автор: Chan Hwang,Seung Yoon Lee,Jeong Jin Lee,Doo Gyu LEE,Min-Cheol KWAK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-27.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150162481A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150159026A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US20160079102A1. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-03-17.

Method for fabricating semiconductor device

Номер патента: US20010005616A1. Автор: Jae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2001-06-28.

Semiconductor device and method for fabricating the same

Номер патента: EP2562794A4. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-12-18.

Method for depositing silicon nitride layer of semiconductor device

Номер патента: US20050118814A1. Автор: Hyung Kim,Sung Jung,Yong Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-06-02.

Device and methods for characterization of semiconductor films

Номер патента: US20240264113A1. Автор: Michel DE KEERSMAECKER,Erin L. RATCLIFF,Neal R. Armstrong. Владелец: University of Arizona. Дата публикации: 2024-08-08.

Semiconductor device and method for fabricating the same

Номер патента: GB201122185D0. Автор: . Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-02-01.

Method for producing optoelectronic devices

Номер патента: US12112968B2. Автор: Ralph Wagner. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-10-08.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US09448065B2. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-09-20.

Semiconductor devices having encapsulated stressor regions and related fabrication methods

Номер патента: US20120193686A1. Автор: Jan Hoentschel,Stefan Flachowsky. Владелец: Globalfoundries Inc. Дата публикации: 2012-08-02.

Method for fabricating a semiconductor device

Номер патента: US20040013867A1. Автор: MARK Martin. Владелец: Individual. Дата публикации: 2004-01-22.

Method for fabricating high permitivity dielectric stacks having low buffer oxide

Номер патента: EP1173886A1. Автор: Effiong Ibok. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-01-23.

Method for fabricating semiconductor device including resist flow process and film coating process

Номер патента: US20070059926A1. Автор: Jae Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-03-15.

Method for fabricating semiconductor device

Номер патента: US20130323908A1. Автор: Chun-Yen Chen,Hung-Hsien Chang,Wei-Hua Fang,Chine-Li WANG,Yung-Chin Yen. Владелец: United Microelectronics Corp. Дата публикации: 2013-12-05.

Manufacturing method of semiconductor device

Номер патента: US12062552B2. Автор: Takashi Saito,Ryoichi Kato,Yuma Murata,Ryotaro Tsuruoka. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-08-13.

Method for fabricating semiconductor device

Номер патента: US7521305B2. Автор: Zing-Way Pei,Cha-Hsin Lin,Shing-Chii Lu,Ming-Jinn Tsai. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2009-04-21.

Sonos Device and Method for Fabricating the Same

Номер патента: US20140329387A1. Автор: Chih-Yuan Wu,Kai-Hsiang Chang,Kuang-Wen Liu,Ching-Chang Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2014-11-06.

Semiconductor device and method for fabricating the same

Номер патента: US20030025153A1. Автор: Sug Chun. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2003-02-06.

Sonos device and method for fabricating the same

Номер патента: US20140070299A1. Автор: Chih-Yuan Wu,Kai-Hsiang Chang,Kuang-Wen Liu,Ching-Chang Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2014-03-13.

Method and computing device for manufacturing semiconductor device

Номер патента: US12093630B2. Автор: Sooyong Lee,Jeeyong Lee,Jaeho Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-17.

Semiconductor device and fabrication method thereof

Номер патента: US09799750B2. Автор: Chun-Fai Cheng,Han-Ting Tsai,Hui-Min Lin,An-Shen CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Semiconductor apparatus and method for producing the same

Номер патента: US09543252B2. Автор: Yoshiyuki Nakaki,Kei Yamamoto,Mamoru Terai,Shiori Idaka. Владелец: Mitsubishi Electric Corp. Дата публикации: 2017-01-10.

Method for fabricating a semiconductor device

Номер патента: US6660617B2. Автор: Hiroyuki Kawano. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-12-09.

Method for Manufacturing Semiconductor Device

Номер патента: US20190006179A1. Автор: Cheng Long ZHANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-01-03.

Method for manufacturing semiconductor device

Номер патента: US10395927B2. Автор: Cheng Long ZHANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-08-27.

Asymmetric finfet in memory device, method of fabricating same and semiconductor device

Номер патента: US20200273863A1. Автор: Rongfu ZHU,Dingyou LIN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2020-08-27.

Method for fabricating semiconductor device

Номер патента: US7445998B2. Автор: Pin-Yao Wang,Rex Young. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2008-11-04.

Semiconductor optical devices and method for forming

Номер патента: US7494832B2. Автор: Yang Du,Leo Mathew,Voon-Yew Thean. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2009-02-24.

Semiconductor device and method for fabricating the same

Номер патента: US20050140011A1. Автор: Jea Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

A method for transferring and stacking of semiconductor devices

Номер патента: EP1252654A2. Автор: Eric Beyne,Staf Borghs,Raf Vandersmissen. Владелец: Umicore NV SA. Дата публикации: 2002-10-30.

Semiconductor device and method for fabricating the same

Номер патента: US7393778B2. Автор: Jea Hee Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2008-07-01.

Method for fabricating semiconductor device

Номер патента: WO2023131742A1. Автор: Ville Vilokkinen,Riina Ulkuniemi,Petri Melanen. Владелец: Modulight Corporation. Дата публикации: 2023-07-13.

Semiconductor device and method for fabricating the same

Номер патента: US09953685B2. Автор: Chia-Jung Hsu,Wein-Town Sun,Chun-Yuan Lo,Jui-Ming Kuo. Владелец: eMemory Technology Inc. Дата публикации: 2018-04-24.

Formation method of semiconductor device structure

Номер патента: US09870955B2. Автор: Che-Cheng Chang,Yi-Jen Chen,Yung-Jung Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Semiconductor device and fabrication method thereof

Номер патента: US09437709B2. Автор: De Yuan Xiao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-09-06.

Manufacturing method of semiconductor device, and semiconductor device

Номер патента: US09428342B2. Автор: Takayuki Nosaka. Владелец: Renesas Electronics Corp. Дата публикации: 2016-08-30.

Semiconductor device and method for manufacturing the same

Номер патента: US20200105681A1. Автор: Ching-Hung Chang,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2020-04-02.

Semiconductor device, method for fabricating the same and apparatus for fabricating the same

Номер патента: WO2011040047A1. Автор: Masaru Sasaki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2011-04-07.

Method for fabricating a semiconductor device

Номер патента: US20020142555A1. Автор: Seon Cha. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-10-03.

Semiconductor device with protection structure and air gaps and method for fabricating the same

Номер патента: US20210327823A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Method for fabricating semiconductor device with protection structure and air gaps

Номер патента: US20210358862A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-11-18.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Semiconductor device with assistant layer and method for fabricating the same

Номер патента: US12080754B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-03.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Semiconductor device and method for fabricating the same

Номер патента: US09887159B1. Автор: Mengkai Zhu. Владелец: United Microelectronics Corp. Дата публикации: 2018-02-06.

Methods for fabricating a metal structure for a semiconductor device

Номер патента: US09865690B2. Автор: Chuanxin Lian,Liping Daniel Hou. Владелец: Qorvo US Inc. Дата публикации: 2018-01-09.

Manufacturing method of semiconductor device, and semiconductor device

Номер патента: US09673073B2. Автор: Takayuki Nosaka. Владелец: Renesas Electronics Corp. Дата публикации: 2017-06-06.

Semiconductor devices and fabrication method thereof

Номер патента: US09614051B2. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-04-04.

Termination structure of semiconductor device and method for manufacturing the same

Номер патента: US09490134B2. Автор: Chun-Ying Yeh,Yuan-Ming Lee. Владелец: Super Group Semiconductor Co Ltd. Дата публикации: 2016-11-08.

Nanopyramid sized opto-electronic structure and method for manufacturing of same

Номер патента: US09444007B2. Автор: Olga Kryliouk,Nathan Gardner,Giuliano Portilho Vescovi. Владелец: GLO AB. Дата публикации: 2016-09-13.

Method for fabricating semiconductor device and semiconductor device

Номер патента: US09412829B2. Автор: Shuichi Nishizawa. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2016-08-09.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US09385061B2. Автор: Hideyo Nakamura,Masafumi Horio. Владелец: Fuji Electric Co Ltd. Дата публикации: 2016-07-05.

Semiconductor device and methods for fabricating and operating the device

Номер патента: US20240224816A1. Автор: Pavel ASEEV. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-07-04.

Semiconductor device with flowable layer and method for fabricating the same

Номер патента: US20220013629A1. Автор: Liang-Pin Chou. Владелец: Nanya Technology Corp. Дата публикации: 2022-01-13.

Semiconductor device with programmable unit and method for fabricating the same

Номер патента: US20220173045A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2022-06-02.

Semiconductor device with conductive protrusions and method for fabricating the same

Номер патента: US20210296174A1. Автор: Shing-Yih Shih. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-23.

Semiconductor device with connection structure and method for fabricating the same

Номер патента: US20210305208A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-09-30.

Semiconductor device and method for fabricating the same

Номер патента: US20210327887A1. Автор: Cheng-Ling Yang. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Systems and methods for bonding semiconductor devices

Номер патента: US20240243006A1. Автор: ARKALGUD Sitaram,Scott LEFEVRE,Kevin Ryan,Ilseok Son,Panupong Jaipan. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-18.

Semiconductor device and method for fabricating the semiconductor device

Номер патента: US20210098485A1. Автор: Il-Young Kwon,Jin-Ho Bin,Dong-Chul Yoo,Hye-Hyeon BYEON. Владелец: SK hynix Inc. Дата публикации: 2021-04-01.

Semiconductor device with anti-back-sputter layer and method for fabricating the same

Номер патента: US20240203753A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-06-20.

Semiconductor device with contact structure and method for fabricating the same

Номер патента: US20240105807A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-03-28.

Semiconductor device with porous dielectric layers and method for fabricating the same

Номер патента: US20240030133A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-01-25.

Semiconductor device with energy-removable layer and method for fabricating the same

Номер патента: US20240178287A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-05-30.

Semiconductor device with sidewall oxidized dielectric and method for fabricating the same

Номер патента: US20210234037A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2021-07-29.

Semiconductor device with protection liners and method for fabricating the same

Номер патента: US20230299005A1. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-21.

Semiconductor device with composite conductive features and method for fabricating the same

Номер патента: US20230299023A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-21.

Semiconductor device with composite conductive features and method for fabricating the same

Номер патента: US20240274554A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-15.

Semiconductor device with alignment marks and method for fabricating the same

Номер патента: US11756893B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2023-09-12.

Semiconductor device with thermal release layer and method for fabricating the same

Номер патента: US20220165639A1. Автор: Jar-Ming Ho. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-26.

Semiconductor device with self-aligning contact and method for fabricating the same

Номер патента: US20220271036A1. Автор: Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2022-08-25.

Architectures Enabling Back Contact Bottom Electrodes For Semiconductor Devices

Номер патента: US20190074393A1. Автор: Venkat Selvamanickam. Владелец: UNIVERSITY OF HOUSTON SYSTEM. Дата публикации: 2019-03-07.

Semiconductor device with uneven electrode surface and method for fabricating the same

Номер патента: US20230105066A1. Автор: Tsu-Chieh AI. Владелец: Nanya Technology Corp. Дата публикации: 2023-04-06.

Semiconductor device and method for fabricating the same

Номер патента: US20210074639A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2021-03-11.

Semiconductor device with slanted conductive layers and method for fabricating the same

Номер патента: US11398441B2. Автор: Kuo-Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2022-07-26.

Semiconductor device with composite conductive features and method for fabricating the same

Номер патента: US20240250047A1. Автор: Teng-Yen Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-25.

Semiconductor device and method for fabricating the same

Номер патента: US20150287823A1. Автор: Chia-Fu Hsu,Chih-Wei Yang,Jian-Cun KE. Владелец: United Microelectronics Corp. Дата публикации: 2015-10-08.

Method for fabricating semiconductor device with protection liner for bit line

Номер патента: US12057348B2. Автор: Huan-Yung Yeh,Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-06.

Methods for fabricating semiconductor devices

Номер патента: US20100311242A1. Автор: Jongwook Kye,Yunfei Deng. Владелец: Globalfoundries Inc. Дата публикации: 2010-12-09.

Method for fabricating semiconductor device

Номер патента: US20090142901A1. Автор: In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-04.

Method for fabricating semiconductor device

Номер патента: US8021944B2. Автор: In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-09-20.

Semiconductor device with conductive layers having different pattern densities and method for fabricating the same

Номер патента: US12080642B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-03.

Semiconductor device with emi protection structure and method for fabricating the same

Номер патента: US20210327821A1. Автор: Chin-Te Kuo. Владелец: Nanya Technology Corp. Дата публикации: 2021-10-21.

Semiconductor device with leakage current guide path and method for fabricating the same

Номер патента: US12100733B2. Автор: Wei-Zhong Li,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-24.

Semiconductor device with alignment marks and method for fabricating the same

Номер патента: US12094834B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-17.

Semiconductor device with alignment marks and method for fabricating the same

Номер патента: US12094833B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-17.

Semiconductor device with capping layer and method for fabricating the same

Номер патента: US20240304697A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-12.

Semiconductor device with filling layer and method for fabricating the same

Номер патента: US20240339400A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-10.

Semiconductor device with filling layer and method for fabricating the same

Номер патента: US20240339401A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-10.

Semiconductor device with filling layer and method for fabricating the same

Номер патента: US20240304553A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-09-12.

Semiconductor device with protection layer and method for fabricating the same

Номер патента: US12112950B2. Автор: Ming-Hung Hsieh. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-08.

Semiconductor device with programmable unit and method for fabricating the same

Номер патента: US12114491B2. Автор: Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-08.

Method for fabricating semiconductor device with redistribution plugs

Номер патента: US12112978B2. Автор: Chun-Cheng Liao. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-08.

Semiconductor device with protection liners and air gaps and method for fabricating the same

Номер патента: US12119302B2. Автор: Te-Yin Chen. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-15.

Method for fabricating semiconductor device with protection liner for bit line

Номер патента: US20240355674A1. Автор: Huan-Yung Yeh,Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-24.

Semiconductor device with porous layer and method for fabricating the same

Номер патента: US20240371684A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-11-07.

Semiconductor device with porous layer and method for fabricating the same

Номер патента: US20240371683A1. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-11-07.

Semiconductor device and method for fabricating the same

Номер патента: US09761690B2. Автор: Chun-Mao Chiou,Chia-Fu Hsu,Shih-Chieh Hsu,Lung-En Kuo,Jian-Cun KE,You-Di Jhang. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Semiconductor device and method for fabricating the same

Номер патента: US09721804B1. Автор: Huang-Ren Wei,Hsuan-Sheng Lin. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-01.

Method for fabricating semiconductor device and semiconductor device

Номер патента: US09653592B2. Автор: Shuichi Nishizawa. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2017-05-16.

Manufacturing method of semiconductor device

Номер патента: US09646829B2. Автор: Yuichi Sato,Junichi Koezuka,Shinji Ohno. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-05-09.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US09613927B2. Автор: Takeshi Sunaga,Akihiro Kimura,Akihiro Koga,Shouji Yasunaga. Владелец: ROHM CO LTD. Дата публикации: 2017-04-04.

Method for fabricating semiconductor device

Номер патента: US09508827B2. Автор: Chia-Fu Hsu,Chih-Wei Yang,Jian-Cun KE. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-29.

Method for forming void-free polysilicon and method for fabricating semiconductor device using the same

Номер патента: US09460964B2. Автор: Hyung-Kyun Kim. Владелец: SK hynix Inc. Дата публикации: 2016-10-04.

Method for fabricating semiconductor device

Номер патента: US09455135B2. Автор: Kun-Yuan Liao,Chieh-Te Chen,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-27.

Method for passivating a through hole of a semiconductor plate

Номер патента: RU2745656C1. Автор: Александер ФРЕЙ. Владелец: АЦУР СПЭЙС Золяр Пауер ГмбХ. Дата публикации: 2021-03-30.

Semiconductor device having a graphene film and method for fabricating thereof

Номер патента: US12014988B2. Автор: Jang Eun Lee,Hyun bae Lee,Wan Don KIM,Min Joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-18.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US7217633B2. Автор: Geon-Ook Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-05-15.

Ball-type bonding wires for semiconductor devices and method for producing same

Номер патента: GB2174032A. Автор: Noriko Watanabe,Kazumichi Machida,Jitsuho Hirota. Владелец: Mitsubishi Electric Corp. Дата публикации: 1986-10-29.

Semiconductor device and method for fabricating the same

Номер патента: US5973362A. Автор: Hae Chang Yang,Min Wha Park. Владелец: LG Semicon Co Ltd. Дата публикации: 1999-10-26.

Method for fabricating semiconductor device with programmable element

Номер патента: US20220069126A1. Автор: Chang-Chieh Lin. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-03.

Method for fabricating semiconductor device with assistant layer

Номер патента: US12051718B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-30.

Wafer, semiconductor device and method for manufacturing the same

Номер патента: WO2020103873A1. Автор: Chih-Wei Chang,Changhao QUAN,Dingyou LIN. Владелец: CHANGXIN MEMORY TECHNOLOGIES, INC.. Дата публикации: 2020-05-28.

Method for fabricating semiconductor device

Номер патента: US20040185671A1. Автор: Seong-Wook Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-09-23.

Method for fabricating semiconductor device with gate spacer

Номер патента: US7265042B2. Автор: Ki-Won Nam. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-09-04.

Production method for device

Номер патента: US7648889B2. Автор: Kazuma Sekiya. Владелец: Disco Corp. Дата публикации: 2010-01-19.

Semiconductor device and method for fabricating the same

Номер патента: US20060014372A1. Автор: Seung-Ho Pyi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-01-19.

Refractory metal nitride capped electrical contact and method for frabricating same

Номер патента: US20110049720A1. Автор: Sadiki Jordan. Владелец: International Rectifier Corp USA. Дата публикации: 2011-03-03.

Method for fabricating semiconductor apparatus using board frame

Номер патента: US6948239B2. Автор: Takahiro Oka. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2005-09-27.

Low mismatch semiconductor device and method for fabricating same

Номер патента: US20110186934A1. Автор: Akira Ito,Xiangdong Chen. Владелец: Broadcom Corp. Дата публикации: 2011-08-04.

Method for fabricating thin-film semiconductor device for display

Номер патента: US20130071972A1. Автор: Hisao Nagai,Kenichirou Nishida. Владелец: Panasonic Corp. Дата публикации: 2013-03-21.

Method for fabricating a semiconductor device and apparatus for inspecting a semiconductor

Номер патента: WO2005086211A1. Автор: Yasunobu Tagusa. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2005-09-15.

Semiconductor device and method for assembling the same

Номер патента: US20020024125A1. Автор: Kazutaka Shibata. Владелец: ROHM CO LTD. Дата публикации: 2002-02-28.

Method for fabricating semiconductor device

Номер патента: US20060228882A1. Автор: Yong-Sik Jeong. Владелец: MagnaChip Semiconductor Ltd. Дата публикации: 2006-10-12.

Semiconductor device and method for fabricating the same

Номер патента: US20160049506A1. Автор: Wai-Yi Lien,Yu-Cheng Tung,Ming-Tsung Chen,Ji-Fu Kung,Hsin-Ming Hou. Владелец: United Microelectronics Corp. Дата публикации: 2016-02-18.

Thin-film semiconductor device and method for fabricating thin-film semiconductor device

Номер патента: US20130161630A1. Автор: Kenichirou Nishida. Владелец: Panasonic Corp. Дата публикации: 2013-06-27.

Method for fabricating semiconductor device

Номер патента: US20130230989A1. Автор: Tzu-Yu Tseng,An-Chi Liu,Chi-Heng Lin,Chih-Wen Teng. Владелец: United Microelectronics Corp. Дата публикации: 2013-09-05.

Semiconductor device and method for fabricating the same

Номер патента: US20240339501A1. Автор: Che-Hsien Lin,Chun-Chia Chen,Chun-jen Huang,Te-Chang Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-10.

Method for fabricating semiconductor device with graphene-based element

Номер патента: US12132097B2. Автор: Tse-Yao Huang. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-29.

Semiconductor device and method for fabricating the same

Номер патента: US09941215B2. Автор: Ching-Wen Hung,Chih-Sen Huang,Jia-Rong Wu,Yi-Hui Lee,Ying-Cheng Liu. Владелец: United Microelectronics Corp. Дата публикации: 2018-04-10.

Semiconductor devices and methods for fabricating the same

Номер патента: US09806168B2. Автор: Jisoo Oh,Sungwoo Myung,Geumjung Seong,Jinwook Lee,Dohyoung Kim,Yong-Ho Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-31.

Semiconductor device and method for fabricating the same

Номер патента: US09673040B2. Автор: Yu-Ru Yang,Chia-Hsun Tseng. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-06.

Method for semiconductor wafer alignment

Номер патента: US09601436B2. Автор: De-Fang Huang,Hsiao-Yi WANG,Shing-Kuei LAI,Wei-Yueh Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-21.

Semiconductor device and method for fabricating the same

Номер патента: US09583627B2. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2017-02-28.

Semiconductor device and method for fabricating the same

Номер патента: US09524921B2. Автор: Tae-Seong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-20.

Microstrip line, method for fabricating the same, inductor element, and RF semiconductor device

Номер патента: US20010033210A1. Автор: Mitsuru Tanabe. Владелец: Individual. Дата публикации: 2001-10-25.

Method for fabricating a throughput-scalable analytical system for molecule detection and sensing

Номер патента: US20210296380A1. Автор: MEI Yan. Владелец: Genesense Technology Inc. Дата публикации: 2021-09-23.

Optical semiconductor device and method for manufacturing the same

Номер патента: US20030160249A1. Автор: Yasutaka Sakata. Владелец: NEC Compound Semiconductor Devices Ltd. Дата публикации: 2003-08-28.

Method for fabricating a micro resistance layer and method for fabricating a micro resistor

Номер патента: US20230207164A1. Автор: Shen-Li Hsiao,Chih-Wei Chi. Владелец: Yageo Corp. Дата публикации: 2023-06-29.

Dynamically adjusting operation of a circuit within a semiconductor device

Номер патента: US20090072855A1. Автор: Sujeet Ayyapureddi,Raghukiran Sreeramaneni. Владелец: Micron Technology Inc. Дата публикации: 2009-03-19.

Method for reducing particles and defects during flash memory fabrication

Номер патента: US20030181008A1. Автор: Kent Chang,Weng-Hsing Huang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-09-25.

Method for fabricating single-sided buried strap in a semiconductor device

Номер патента: US20130102123A1. Автор: Yi-Nan Chen,Hsien-Wen Liu,Tzu-Ching Tsai. Владелец: Nanya Technology Corp. Дата публикации: 2013-04-25.

Apparatus and method for identifying memory device sharing external resistance of semiconductor device

Номер патента: CN111295710A. Автор: D·甘斯. Владелец: Micron Technology Inc. Дата публикации: 2020-06-16.

Method for forming cylindrical capacitor lower plate in semiconductor device

Номер патента: US5858834A. Автор: Toshiyuki Hirota,Kiyotaka Sakamoto,Shuji Fujiwara. Владелец: NEC Corp. Дата публикации: 1999-01-12.

Method for manufacturing a dynamic random access memory cell

Номер патента: US5270239A. Автор: Jae K. Kim,Wi S. Min. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1993-12-14.

Making method for light emitting device by ink jet printing and semiconductor device using the same

Номер патента: KR20230068909A. Автор: 박병주. Владелец: 광운대학교 산학협력단. Дата публикации: 2023-05-18.

Method for manufacturing material for use in manufacturing electroluminescent organic semiconductor devices

Номер патента: EP2381503B1. Автор: Janos Veres. Владелец: Polyphotonix Ltd. Дата публикации: 2013-04-17.

Multi-layered moiré targets and methods for using the same in measuring misregistration of semiconductor devices

Номер патента: EP3948938A1. Автор: YOEL Feler,Mark Ghinovker. Владелец: KLA Corp. Дата публикации: 2022-02-09.

Method for estimating the end of lifetime for a power semiconductor device

Номер патента: EP2724170A1. Автор: Bjørn Rannestad,Paul Bach Thogersen. Владелец: KK-ELECTRONIC AS. Дата публикации: 2014-04-30.

Method for estimating the end of lifetime for a power semiconductor device

Номер патента: US09529037B2. Автор: Bjørn Rannestad,Paul Bach Thogersen. Владелец: Kk Wind Solutions As. Дата публикации: 2016-12-27.

Method for performing double clustering to evaluate placement of semiconductor devices

Номер патента: US12008297B1. Автор: Seungju KIM,Wooshik MYUNG,Jiyoon LIM,Wonjun Yoo. Владелец: MakinaRocks Co Ltd. Дата публикации: 2024-06-11.

Apparatus and method for the parallel and independent testing of voltage-supplied semiconductor devices

Номер патента: US6903565B2. Автор: Udo Hartmann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-06-07.

Device and methods for reducing peak noise and peak power consumption in semiconductor devices under test

Номер патента: US20200049765A1. Автор: Jong-Tae Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-02-13.

Method for epitaxial growth from the vapour phase of semiconductor materials

Номер патента: CA1296241C. Автор: Peter Michael Frijlink. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1992-02-25.

Semiconductor device and method for fabricating the same

Номер патента: US20240341206A1. Автор: Tae Jung Ha. Владелец: SK hynix Inc. Дата публикации: 2024-10-10.

Method for fabricating a semiconductor device

Номер патента: US20020110981A1. Автор: Hirofumi Watatani. Владелец: Fujitsu Ltd. Дата публикации: 2002-08-15.

Method for fabricating a semiconductor device

Номер патента: US20020019086A1. Автор: Hirofumi Watatani. Владелец: Fujitsu Ltd. Дата публикации: 2002-02-14.

Systems and methods for operating high voltage switches

Номер патента: US09575124B2. Автор: William Chau,Brian Cheung,Darmin Jin. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-02-21.

Method for fabricating semiconductor device

Номер патента: US20020045305A1. Автор: Ki Lee. Владелец: Individual. Дата публикации: 2002-04-18.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US20080316790A1. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2008-12-25.

Gate driving circuit and method for driving semiconductor device

Номер патента: US09543928B2. Автор: Keisuke Yamashiro,Hiromu Takubo. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-01-10.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20100109075A1. Автор: Tae O Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-05-06.

Semiconductor device having an expanded storage node contact and method for fabricating the same

Номер патента: US20110076835A1. Автор: Tae O. Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-03-31.

Semiconductor device and method for fabricating the same

Номер патента: US20240237562A1. Автор: Cha Deok Dong,Keo Rock CHOI. Владелец: SK hynix Inc. Дата публикации: 2024-07-11.

Jig, apparatus and method for inspecting semiconductor chip, and manufacturing method of semiconductor device

Номер патента: TW201013832A. Автор: Nobuhiro Sawa. Владелец: NEC Electronics Corp. Дата публикации: 2010-04-01.

Apparatuses and methods for controlling wordlines and sense amplifiers

Номер патента: US09984739B2. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2018-05-29.

Method for controlling read-out or write in of semiconductor memory device and apparatus for the same

Номер патента: DE3276885D1. Автор: Miki Tanaka,Kazuo - Ooami. Владелец: Fujitsu Ltd. Дата публикации: 1987-09-03.

CIRCUIT AND METHOD FOR BIASING A PLATE-SHAPED SENSOR ELEMENT OF SEMICONDUCTOR MATERIAL

Номер патента: US20140103921A1. Автор: RAMAN Johan,ROMBOUTS Pieter. Владелец: MELEXIS TECHNOLOGIES N.V.. Дата публикации: 2014-04-17.

Method for measuring and controlling of junction temperature of semiconductor memory device

Номер патента: KR20020091659A. Автор: 윤성준,김윤기,유진오. Владелец: 삼성전자 주식회사. Дата публикации: 2002-12-06.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US20200363978A1. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2020-11-19.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US11449258B2. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2022-09-20.

DEVICE AND METHODS FOR REDUCING PEAK NOISE AND PEAK POWER CONSUMPTION IN SEMICONDUCTOR DEVICES UNDER TEST

Номер патента: US20200049765A1. Автор: HWANG Jong-Tae. Владелец: . Дата публикации: 2020-02-13.

METHOD FOR ESTIMATING THE END OF LIFETIME FOR A POWER SEMICONDUCTOR DEVICE

Номер патента: US20140125366A1. Автор: Rannestad Bjørn,Thøgersen Paul Bach. Владелец: KK-ELECTRONIC A/S. Дата публикации: 2014-05-08.

SYSTEMS AND METHODS FOR DEPOPULATING PINS FROM CONTACTOR TEST SOCKETS FOR PACKAGED SEMICONDUCTOR DEVICES

Номер патента: US20180106835A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2018-04-19.

Systems and Methods for Depopulating Pins from Contactor Test Sockets for Packaged Semiconductor Devices

Номер патента: US20200141974A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2020-05-07.

METHOD FOR INSPECTING PATTERN AND AN APPARATUS FOR MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20160275663A1. Автор: Yang Seunghune,YANG Kiho,CHI KAIYUAN. Владелец: . Дата публикации: 2016-09-22.

Method for forming a resist pattern and for manufacturing a semiconductor device.

Номер патента: FR2716547A1. Автор: Kanazawa Masao,NAKAGAWA Kenji,KUDO Hiroshi,OIKAWA Akira,Yano Ei. Владелец: Fujitsu Ltd. Дата публикации: 1995-08-25.

Circuit and method for reducing number of data input and output and semiconductor device

Номер патента: JPH1152028A. Автор: Gyu-Hong Kim,金奎泓. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-02-26.

Method for extracting the distribution of charge stored in a semiconductor device

Номер патента: WO2006128922A1. Автор: Arnaud Furnémont. Владелец: Interuniversitair Microelektronica Centrum Vzw. Дата публикации: 2006-12-07.

Temporary mechanical stabilization of semiconductor cavities

Номер патента: US20180086632A1. Автор: Joachim Mahler,Alfred Sigl,Daniel Porwol,Dominic Maier. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-29.

Temporary mechanical stabilization of semiconductor cavities

Номер патента: US09988262B2. Автор: Joachim Mahler,Alfred Sigl,Daniel Porwol,Dominic Maier. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-06-05.

Semiconductor device test system and method

Номер патента: US20080246505A1. Автор: Carsten Ohlhoff,Markus Kollwitz. Владелец: Qimonda AG. Дата публикации: 2008-10-09.

On-wafer burn-in of semiconductor devices using thermal rollover

Номер патента: US20040119486A1. Автор: Charlie WANG,Hong Hou,Wenlin Luo. Владелец: Individual. Дата публикации: 2004-06-24.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: EP3500850A2. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2019-06-26.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: US20230273159A1. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2023-08-31.

Method for observing tungsten plug of semiconductor device microscopically

Номер патента: US5989930A. Автор: Shu-Ying Lu,Fei-Chun Tseng. Владелец: United Microelectronics Corp. Дата публикации: 1999-11-23.

Low α-dose tin or tin alloy, and method for producing same

Номер патента: US09394590B2. Автор: Gaku Kanou. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2016-07-19.

System and method for generating a quote for fabrication of a part to be fabricated

Номер патента: US12124237B2. Автор: Jonathan Schwartz,Oliver Ortlieb,Max Friefeld. Владелец: DESPREZ LLC. Дата публикации: 2024-10-22.

Apparatus and method for terminating probe apparatus of semiconductor wafer

Номер патента: US09910067B2. Автор: William A. Funk,Bryan J. Root. Владелец: Celadon Systems Inc. Дата публикации: 2018-03-06.

System and method for generating a quote for fabrication of a part to be fabricated

Номер патента: US12105500B2. Автор: Jonathan Schwartz,Oliver Ortlieb,Max Friefeld. Владелец: DESPREZ LLC. Дата публикации: 2024-10-01.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for fabricating recessed source/drain junction of a semiconductor device

Номер патента: TW565876B. Автор: Weng-Hsing Huang,Kent Kuo-Hua Chang. Владелец: Macronix Int Co Ltd. Дата публикации: 2003-12-11.

Method for measuring leakage current in junction region of semiconductor device

Номер патента: TW311251B. Автор: Jang Se-Aug,Song Tae-Sik. Владелец: Hyundai Electronics Ind. Дата публикации: 1997-07-21.

Method for fabricating of double oxide layer of semiconductor device

Номер патента: KR100327349B1. Автор: 이문희,이광욱,이근택,정승필,장규환,이선정,박임수. Владелец: 윤종용. Дата публикации: 2002-03-06.

Method for manufacturing dual-layer gate of a metal oxide semiconductor device

Номер патента: TW457569B. Автор: Hung-Huei Tzeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2001-10-01.

MANUFACTURING METHOD OF PHOTOMASK, METHOD FOR OPTICAL PROXIMITY CORRECTION, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120183891A1. Автор: . Владелец: . Дата публикации: 2012-07-19.

METHOD FOR FORMING MASK FOR FORMING CONTACT HOLES OF SEMICONDUCTOR DEVICE

Номер патента: US20120220129A1. Автор: LIM Hee-Youl. Владелец: . Дата публикации: 2012-08-30.

Method for pattern formation of mask or reticle of semiconductor device

Номер патента: KR980003797A. Автор: 조원석,백현철. Владелец: 김광호. Дата публикации: 1998-03-30.

Method for predicting work voltage of service life of semiconductor device

Номер патента: CN104122492A. Автор: 郝鹏,黄如,王润声,蒋晓波,任鹏鹏,郭少峰. Владелец: PEKING UNIVERSITY. Дата публикации: 2014-10-29.

Method for checking design rules in layout data of semiconductor integrated circuit and apparatus for implementing the method

Номер патента: JP3019032B2. Автор: 将直 原田. Владелец: NEC Corp. Дата публикации: 2000-03-13.

METHOD FOR FORMING MASKING LAYER BY USING ION IMPLANTATION AND SEMICONDUCTOR DEVICE FABRICATED BY USING THE SAME

Номер патента: US20120009787A1. Автор: . Владелец: . Дата публикации: 2012-01-12.

METHOD FOR MANUFACTURING SILICON CARBIDE SUBSTRATE, SILICON CARBIDE SUBSTRATE, AND SEMICONDUCTOR DEVICE

Номер патента: US20120012862A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-19.

METHOD FOR MANUFACTURING EPITAXIAL CRYSTAL SUBSTRATE, EPITAXIAL CRYSTAL SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20120299061A1. Автор: Momoi Hajime,Kakuta Koji. Владелец: . Дата публикации: 2012-11-29.

Method for forming isolation of air buffer trench elements in semiconductor devices

Номер патента: KR19980085786A. Автор: 김성의. Владелец: 윤종용. Дата публикации: 1998-12-05.

Method for manufacturing p-type group iii nitride semiconductor and semiconductor device

Номер патента: JP2021182597A. Автор: 隆弘 藤井,Takahiro Fujii. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2021-11-25.

Method for measuring gate-to-body current of metal oxide semiconductor device

Номер патента: TW546484B. Автор: Ke-Wei Su,Jau-Kang He. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2003-08-11.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003821A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR ENHANCED PROCESSING CHAMBER CLEANING

Номер патента: US20120000490A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001226A1. Автор: . Владелец: Sanken Electric Co., Ltd.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

RESIN COMPOSITION FOR ENCAPSULATING SEMICONDUCTOR AND SEMICONDUCTOR DEVICE

Номер патента: US20120001350A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Light Emitting Device and Method for Manufacturing the Same

Номер патента: US20120001202A1. Автор: Horng Ray-Hua. Владелец: NATIONAL CHENG KUNG UNIVERSITY. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

RECEIVER, SEMICONDUCTOR DEVICE, AND SIGNAL TRANSMISSION METHOD

Номер патента: US20120002771A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE HAVING REDUCED SUB-THRESHOLD LEAKAGE

Номер патента: US20120003810A1. Автор: . Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003820A1. Автор: FURUYA Akira,Kitamura Takamitsu,Nakata Ken,Makabe Isao,Yui Keiichi. Владелец: SUMITOMO ELECTRIC INDUSTRIES LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001177A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

Large Area Nitride Crystal and Method for Making It

Номер патента: US20120000415A1. Автор: Speck James S.,"DEvelyn Mark P.". Владелец: Soraa, Inc.. Дата публикации: 2012-01-05.

Method for Preparing Small Volume Reaction Containers

Номер патента: US20120003675A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120002693A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

DECORATION DEVICE AND METHOD FOR FABRICATING DECORATION DEVICE

Номер патента: US20120003426A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

System and Method for Joint Resurface Repair

Номер патента: US20120004663A1. Автор: . Владелец: ARTHROSURFACE INC.. Дата публикации: 2012-01-05.

Sol-Gel Composition for Fabricating Conductive Fibers

Номер патента: US20120001369A1. Автор: Chao Yu-Chou,Lin Shang-Ming,Lin Jo-Chun,Chu Yun-Yun,Lin Yi-De. Владелец: TAIWAN TEXTILE RESEARCH INSTITUTE. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR STIMULATION OF BIOLOGICAL TISSUE

Номер патента: US20120004580A1. Автор: Wagner Timothy Andrew,Eden Uri Tzvi. Владелец: HIGHLAND INSTRUMENTS, INC.. Дата публикации: 2012-01-05.

METHOD FOR AUTOMATICALLY SHIFTING A BASE LINE

Номер патента: US20120004890A1. Автор: Chen Po-Tsang. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2012-01-05.