• Главная
  • Process monitoring structures for via etch processes for semiconductor devices

Process monitoring structures for via etch processes for semiconductor devices

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Process for forming a semiconductor device

Номер патента: EP0629002B1. Автор: Papu D. Maniar,Reza Moazzami,Joseph C. Mogab. Владелец: Motorola Inc. Дата публикации: 2004-03-03.

Semiconductor device including process monitoring pattern having overlapping input/output pad array area

Номер патента: US8445907B2. Автор: Dong-Hyun Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-05-21.

Semiconductor device including process monitoring pattern and methods of fabricating the same

Номер патента: US20110187001A1. Автор: Dong-Hyun Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-08-04.

Manufacturing method for semiconductor device having hole penetrating stack structure

Номер патента: US09911751B2. Автор: Chan Sun Hyun,Jong Hoon Kim,Woo June KWON. Владелец: SK hynix Inc. Дата публикации: 2018-03-06.

Vias for Semiconductor Devices Formed from Multiple Etching

Номер патента: US20240274507A1. Автор: Scott Sheppard,Kyle BOTHE,Chris Hardiman. Владелец: Wolfspeed Inc. Дата публикации: 2024-08-15.

Semiconductor device and method for fabricating the same

Номер патента: EP2562794A4. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-12-18.

Semiconductor device and method for fabricating the same

Номер патента: GB201122185D0. Автор: . Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-02-01.

Isolated backside contacts for semiconductor devices

Номер патента: US20240321737A1. Автор: Charles H. Wallace,Leonard P. GULER,Saurabh Acharya,Shengsi LIU. Владелец: Intel Corp. Дата публикации: 2024-09-26.

Interconnection Structure And Method For Semiconductor Device

Номер патента: US20150311152A1. Автор: Chih-Yuan Ting,Chung-Wen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-10-29.

Self-aligned buried power rail formation for semiconductor devices

Номер патента: EP4420162A1. Автор: Huiming Bu,Miaomiao Wang,Ruilong Xie,Huimei Zhou. Владелец: International Business Machines Corp. Дата публикации: 2024-08-28.

Photo pattern method to increase via etching rate

Номер патента: US20170062270A1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2017-03-02.

Photo pattern method to increase via etching rate

Номер патента: US20160372401A1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2016-12-22.

Contacts for semiconductor devices and methods of forming thereof

Номер патента: US09824972B2. Автор: Martin Sporn,Mark James Harrison. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-11-21.

Photo pattern method to increase via etching rate

Номер патента: US09741607B2. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2017-08-22.

Contacts for semiconductor devices and methods of forming thereof

Номер патента: US09553016B2. Автор: Martin Sporn,Mark James Harrison. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-01-24.

Photo pattern method to increase via etching rate

Номер патента: US09536808B1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2017-01-03.

Contact pad for semiconductor devices

Номер патента: US09589891B2. Автор: Jie Chen,Hsien-Wei Chen,Ying-Ju Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-07.

Electropositive metal containing layers for semiconductor applications

Номер патента: US09390932B2. Автор: Scott B. Clendenning,Patricio E. Romero. Владелец: Intel Corp. Дата публикации: 2016-07-12.

Contact for semiconductor device and method of forming thereof

Номер патента: US12046677B2. Автор: Yi-Lii Huang,Huei-Shan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Manufacturing method for semiconductor device

Номер патента: US20240282593A1. Автор: Yuki Nakano. Владелец: ROHM CO LTD. Дата публикации: 2024-08-22.

Interconnection architecture for semiconductor device

Номер патента: US20080017997A1. Автор: Yungi Kim,KangYoon Lee,Youngwoong Son,Jaeman Yoon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-01-24.

Interconnection architecture for semiconductor device

Номер патента: US20120013015A1. Автор: Yungi Kim,KangYoon Lee,Youngwoong Son,Jaeman Yoon. Владелец: Individual. Дата публикации: 2012-01-19.

Interconnection architecture for semiconductor device

Номер патента: US8558385B2. Автор: Yungi Kim,KangYoon Lee,Youngwoong Son,Jaeman Yoon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-10-15.

Interconnection architecture for semiconductor device

Номер патента: US8058169B2. Автор: Yungi Kim,KangYoon Lee,Youngwoong Son,Jaeman Yoon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-11-15.

Contact for Semiconductor Device and Method of Forming Thereof

Номер патента: US20240339539A1. Автор: Yi-Lii Huang,Huei-Shan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Design structure for semiconductor device having radiation hardened insulators and structure thereof

Номер патента: US20100032795A1. Автор: John M. Aitken,Ethan H. Cannon. Владелец: Individual. Дата публикации: 2010-02-11.

Process for forming a semiconductor device

Номер патента: US6043146A. Автор: Joy Kimi Watanabe,John Joseph Stankus. Владелец: Motorola Inc. Дата публикации: 2000-03-28.

Process for fabricating a semiconductor device having an improved metal interconnect structure

Номер патента: US5527739A. Автор: Jeffrey L. Klein,Louis C. Parrillo. Владелец: Motorola Inc. Дата публикации: 1996-06-18.

Process for fabricating a semiconductor device

Номер патента: EP1126518A3. Автор: Yuji Segawa,Takeshi Nogami,Naoki Komai. Владелец: Sony Corp. Дата публикации: 2003-01-22.

Process for fabricating a semiconductor device

Номер патента: US20030124839A1. Автор: Naoki Nagashima. Владелец: Sony Corp. Дата публикации: 2003-07-03.

Process for fabricating a semiconductor device

Номер патента: US5961791A. Автор: Hak-Lay Chuang,Larry E. Frisa,Laura Pressley. Владелец: Motorola Inc. Дата публикации: 1999-10-05.

Embedded die packaging of power semiconductor devices

Номер патента: US20240213110A1. Автор: Stephen Coates,An-Sheng CHENG. Владелец: GaN Systems Inc. Дата публикации: 2024-06-27.

Multi-row wiring member for semiconductor device and method for manufacturing the same

Номер патента: US20190096793A1. Автор: Kaoru HISHIKI,Ichinori Iidani. Владелец: Ohkuchi Materials Co Ltd. Дата публикации: 2019-03-28.

Conductive paths through dielectric with a high aspect ratio for semiconductor devices

Номер патента: US09576918B2. Автор: Thorsten Meyer,Andreas Wolter. Владелец: Intel IP Corp. Дата публикации: 2017-02-21.

Pad structures for semiconductor devices

Номер патента: EP4289001A1. Автор: Yihuan WANG,Mingkang ZHANG. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-12-13.

Process for fabricating a semiconductor device

Номер патента: US20010055853A1. Автор: Shinichi Sato,Takayuki Taniguchi,Masanori Yoshimi,Takuji Tanigami,Naoyuki Shinmura,Kenji Hakozaki. Владелец: Individual. Дата публикации: 2001-12-27.

Multilayer wiring structure for semiconductor device

Номер патента: US20010045656A1. Автор: Takashi Yokoyama. Владелец: NEC Corp. Дата публикации: 2001-11-29.

Subtractive via etch for mimcap

Номер патента: US20230063908A1. Автор: Hsueh-Chung Chen,Junli Wang,Yann Mignot,Chi-chun Liu,Mary Claire Silvestre. Владелец: International Business Machines Corp. Дата публикации: 2023-03-02.

Dual metal contacts with ruthenium metal plugs for semiconductor devices

Номер патента: US20200279782A1. Автор: Hiroaki Niimi,Gyanaranjan Pattanaik. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-09-03.

Vertical slow-wave symmetric inductor structure for semiconductor devices

Номер патента: US09508480B2. Автор: Hsiu-Ying Cho. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Guard ring design structure for semiconductor devices

Номер патента: US09478505B2. Автор: Hsien-Wei Chen,Chung-Ying Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-25.

Stacked die modules for semiconductor device assemblies and methods of manufacturing stacked die modules

Номер патента: US20240258243A1. Автор: Jong Sik Paek. Владелец: Micron Technology Inc. Дата публикации: 2024-08-01.

Manufacturing method for semiconductor device

Номер патента: US6121160A. Автор: Hideaki Sato,Kinichi Igarashi. Владелец: NEC Corp. Дата публикации: 2000-09-19.

Power line layout structure for semiconductor device

Номер патента: US09870992B1. Автор: Jae Hwan Kim. Владелец: SK hynix Inc. Дата публикации: 2018-01-16.

Vertical contacts for semiconductor devices

Номер патента: US12052858B2. Автор: Sangmin Hwang,Kyuseok Lee,Byung Yoon KIM. Владелец: Micron Technology Inc. Дата публикации: 2024-07-30.

Lateral high-Q inductor for semiconductor devices

Номер патента: US20010043136A1. Автор: Jerome Chu. Владелец: Individual. Дата публикации: 2001-11-22.

Vertical contacts for semiconductor devices

Номер патента: US20240373624A1. Автор: Sangmin Hwang,Kyuseok Lee,Byung Yoon KIM. Владелец: Micron Technology Inc. Дата публикации: 2024-11-07.

Patterned gold bump structure for semiconductor chip

Номер патента: US20070187822A1. Автор: Yi-Cheng Chen,Chun-Ping Hu,Chien-Wen Tsai. Владелец: Elan Microelectronics Corp. Дата публикации: 2007-08-16.

Inductor for semiconductor device and method of fabricating the same

Номер патента: US20070152300A1. Автор: Nam Joo Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Adhesive film for semiconductor, and semiconductor device

Номер патента: US10818610B2. Автор: Young Kook Kim,Kwang Joo Lee,Hee Jung Kim,Nu Ri Na. Владелец: LG Chem Ltd. Дата публикации: 2020-10-27.

Bonding wire for semiconductor device

Номер патента: US09887172B2. Автор: Takashi Yamada,Tomohiro Uno,Kazuyuki Saito,Daizo Oda,Teruo Haibara,Ryo Oishi,Motoki Eto. Владелец: Nippon Steel and Sumikin Materials Co Ltd. Дата публикации: 2018-02-06.

A PROCESS FOR MANUFACTURING A SEMICONDUCTOR DEVICE

Номер патента: BR7201440D0. Автор: M Paffen,J Appels,W Gerardus,V Kobi. Владелец: Philips Nv. Дата публикации: 1973-06-07.

Packaging devices and methods for semiconductor devices

Номер патента: US09893021B2. Автор: Wensen Hung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-13.

Dicing structures for semiconductor substrates and methods of fabrication thereof

Номер патента: US09859223B2. Автор: Hsien-Wei Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-02.

Semiconductor device

Номер патента: US20200058543A1. Автор: Seokhwan Kim,Junyong NOH,Jung-Hoon Han,Jaewon Seo,Joodong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-02-20.

Three dimensional package for semiconductor devices and external components

Номер патента: US12062597B2. Автор: Sreenivasan Kalyani Koduri,Christopher Daniel Manack. Владелец: Texas Instruments Inc. Дата публикации: 2024-08-13.

Nano through substrate vias for semiconductor devices and related systems and methods

Номер патента: US20230386970A1. Автор: Kunal R. Parekh,Angela S. Parekh. Владелец: Micron Technology Inc. Дата публикации: 2023-11-30.

Protective barrier layer for semiconductor device electrodes

Номер патента: EP1925028A2. Автор: Martin Standing,David P. Jones,Andrew N. Sawle,Martin Carroll. Владелец: International Rectifier Corp USA. Дата публикации: 2008-05-28.

Semiconductor device

Номер патента: US11984349B2. Автор: Seokhwan Kim,Junyong NOH,Jung-Hoon Han,Jaewon Seo,Joodong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-05-14.

Semiconductor device with multi-layered metalizations

Номер патента: US4200969A. Автор: Masaharu Aoyama,Toshio Yonezawa,Shunichi Hiraki. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1980-05-06.

Semiconductor device

Номер патента: US20070249162A1. Автор: Yoshihisa Matsubara. Владелец: NEC Electronics Corp. Дата публикации: 2007-10-25.

Process for producing a semiconductor device and the semiconductor device

Номер патента: TW426950B. Автор: Hiroyuki Watanabe,Naoteru Matsubara,Hideki Mizuhara. Владелец: Sanyo Electric Co. Дата публикации: 2001-03-21.

Process for producing a semiconductor device

Номер патента: TW423075B. Автор: Nobuyuki Takenaka. Владелец: Sharp Kk. Дата публикации: 2001-02-21.

Process for fabricating a semiconductor device

Номер патента: TW200300565A. Автор: Naoki Nagashima. Владелец: Sony Corp. Дата публикации: 2003-06-01.

Mask and method for manufacturing semiconductor device

Номер патента: US9524880B2. Автор: Yun Yang,Shaobin Li,Shengfin CHIU. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-12-20.

Mask and method for manufacturing semiconductor device

Номер патента: US20160020106A1. Автор: Yun Yang,Shaobin Li,Shengfin CHIU. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-01-21.

Process for fabricating semiconductor device

Номер патента: US20030013313A1. Автор: Masaru Izawa,Nobuyuki Negishi. Владелец: HITACHI LTD. Дата публикации: 2003-01-16.

Process for forming a semiconductor device

Номер патента: US5821168A. Автор: Ajay Jain. Владелец: Motorola Inc. Дата публикации: 1998-10-13.

Process for forming a semiconductor device

Номер патента: US5935871A. Автор: Janos Farkas,David Watts,Melissa Freeman. Владелец: Motorola Inc. Дата публикации: 1999-08-10.

PROCESS FOR PRODUCING A SEMICONDUCTOR DEVICE

Номер патента: FR2860098B1. Автор: Seiichi Kondo,Kaori Misawa,Shunichi Tokitoh,Takashi Nasuno. Владелец: Semiconductor Leading Edge Technologies Inc. Дата публикации: 2007-06-15.

PROCESS FOR PRODUCING A SEMICONDUCTOR DEVICE WITH MULTIPLE GRID LEVELS.

Номер патента: FR2583573B1. Автор: Pierre Blanchard,Jean-Paul Cortot. Владелец: Thomson CSF SA. Дата публикации: 1988-04-08.

Process for fabricating a semiconductor device with improved step coverage and reliability of a lower aluminum line

Номер патента: US6444571B1. Автор: Yoshiaki Yamamoto. Владелец: NEC Corp. Дата публикации: 2002-09-03.

Process for production of semiconductor device

Номер патента: JPS5246784A. Автор: Nobuyuki Nakamoto. Владелец: HITACHI LTD. Дата публикации: 1977-04-13.

Process for fabricating a semiconductor device having recess portion

Номер патента: US6645852B1. Автор: Miyata Koji,Mitsuru Taguchi,Shingo Kadomura. Владелец: Sony Corp. Дата публикации: 2003-11-11.

A process for manufacturing a semiconductor device

Номер патента: KR100400111B1. Автор: 다구와데쓰야. Владелец: 엔이씨 일렉트로닉스 코포레이션. Дата публикации: 2003-10-01.

Process for manufacturing a semiconductor device

Номер патента: US6589873B2. Автор: Tetsuya Taguwa. Владелец: NEC Electronics Corp. Дата публикации: 2003-07-08.

Process for fabricating a semiconductor device having a phosphosilicate glass layer

Номер патента: IE53902B1. Автор: . Владелец: Fujitsu Ltd. Дата публикации: 1989-04-12.

Process for fabricating a semiconductor device

Номер патента: TW506002B. Автор: Yuji Segawa,Takeshi Nogami,Naoki Komai. Владелец: Sony Corp. Дата публикации: 2002-10-11.

EMI/RFI shielding for semiconductor device packages

Номер патента: US09673150B2. Автор: Michael B. Vincent,Scott M. Hayes,Zhiwei Gong. Владелец: NXP USA Inc. Дата публикации: 2017-06-06.

Power supply arrangement for semiconductor device

Номер патента: US09406648B2. Автор: Chen-Hua Yu,Chuei-Tang Wang,Monsen Liu,Sen-Kuei HSU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-02.

Wet etching process-based modeling method and semiconductor device manufacturing method

Номер патента: US20240202399A1. Автор: Hui ZENG,Ruijing Han. Владелец: Cansemi Technology Inc. Дата публикации: 2024-06-20.

Protective film for semiconductors, semiconductor device, and composite sheet

Номер патента: US10825790B2. Автор: Naoya Okamoto,Katsuhiko Horigome,Ryohei Ikeda. Владелец: Lintec Corp. Дата публикации: 2020-11-03.

Fin cutting process for manufacturing FinFET semiconductor devices

Номер патента: US09754792B1. Автор: Garo Jacques DERDERIAN. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-05.

Fin cutting process for manufacturing finfet semiconductor devices

Номер патента: US20170250088A1. Автор: Garo Jacques DERDERIAN. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-31.

Process for manufacturing a semiconductor device comprising a metal-compound film

Номер патента: US7943475B2. Автор: Tomoe Yamamoto,Toshihiro Iizuka. Владелец: Renesas Electronics Corp. Дата публикации: 2011-05-17.

Process for preparing of semiconductor device and pattern-forming coating solution used for this process

Номер патента: US5087551A. Автор: Satoshi Takechi,Yuko Nakamura. Владелец: Fujitsu Ltd. Дата публикации: 1992-02-11.

Process for forming vertical semiconductor device having increased source contact area

Номер патента: EP1067596A3. Автор: Thomas Grebs,Jason Trost. Владелец: Intersil Corp. Дата публикации: 2003-05-28.

Process for forming a semiconductor device

Номер патента: US6068668A. Автор: Sal Mastroianni. Владелец: Motorola Inc. Дата публикации: 2000-05-30.

Process for manufacturing a semiconductor device

Номер патента: CA1143867A. Автор: Michio Arai,Kazuo Nishiyama,Tetsunosuke Yanada. Владелец: Sony Corp. Дата публикации: 1983-03-29.

Process for fabricating a semiconductor device

Номер патента: GB2299209A. Автор: Kiyoshi Takeuchi,Toyoji Yamamoto. Владелец: NEC Corp. Дата публикации: 1996-09-25.

Process for producing a semiconductor device

Номер патента: US7169648B2. Автор: Takashi Sugino,Osamu Yamazaki,Akinori Sato,Hideo Senoo. Владелец: Lintec Corp. Дата публикации: 2007-01-30.

Process for producing a semiconductor device with a bulk-defect region having a nonuniform depth

Номер патента: US5094963A. Автор: Kazunori Imaoka,Takao Hiraguchi. Владелец: Fujitsu Ltd. Дата публикации: 1992-03-10.

Process for fabricating a semiconductor device

Номер патента: EP1111671B1. Автор: Günter Dipl.-Ing. Igel. Владелец: TDK Micronas GmbH. Дата публикации: 2005-10-26.

Process for production of SOI substrate and process for production of semiconductor device

Номер патента: US20050151201A1. Автор: Takeshi Fukunaga. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2005-07-14.

Process for contacting a semiconductor device

Номер патента: US3737380A. Автор: A Bachmeier. Владелец: Telefunken Patentverwertungs GmbH. Дата публикации: 1973-06-05.

Process for making a semiconductor device

Номер патента: CA1223975A. Автор: Gustaaf Schols. Владелец: International Standard Electric Corp. Дата публикации: 1987-07-07.

Semiconductor chip, semiconductor device, and process for producing a semiconductor device

Номер патента: US20020093014A1. Автор: Shigeki Tomishima. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-07-18.

Process for forming a semiconductor device substrate

Номер патента: US9820390B2. Автор: Yonggang Li,Islam A. Salama,Mihir K. Roy. Владелец: Intel Corp. Дата публикации: 2017-11-14.

Process for forming a semiconductor device substrate

Номер патента: US09820390B2. Автор: Yonggang Li,Islam A. Salama,Mihir K. Roy. Владелец: Intel Corp. Дата публикации: 2017-11-14.

Process for producing a semiconductor device

Номер патента: US5688712A. Автор: Taiji Ema,Toshimi Ikeda. Владелец: Fujitsu Ltd. Дата публикации: 1997-11-18.

Process for manufacturing semiconductor device

Номер патента: US20090148997A1. Автор: Kazuhiro Fukuchi. Владелец: NEC Electronics Corp. Дата публикации: 2009-06-11.

Process for manufacturing semiconductor device

Номер патента: US7776703B2. Автор: Kazuhiro Fukuchi. Владелец: NEC Electronics Corp. Дата публикации: 2010-08-17.

Process for producing a semiconductor device using a crystalline insulating substrate

Номер патента: DE3065512D1. Автор: Nobuo Sasaki. Владелец: Fujitsu Ltd. Дата публикации: 1983-12-15.

Devices and methods for detecting counterfeit semiconductor devices

Номер патента: US09941223B2. Автор: Maurice S. Karpman. Владелец: Charles Stark Draper Laboratory Inc. Дата публикации: 2018-04-10.

Replacement gate process for semiconductor devices

Номер патента: US09917017B2. Автор: Ying-Ho Chen,Yung-Cheng Lu,Yu-Jen Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-13.

Epitaxial Structures For Semiconductor Devices

Номер патента: US20240372007A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

Contact structure for semiconductor devices and corresponding manufacturing process

Номер патента: US20020050627A1. Автор: Raffaele Zambrano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-05-02.

Dual metal capped via contact structures for semiconductor devices

Номер патента: US12100745B2. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Dual metal capped via contact structures for semiconductor devices

Номер патента: US20240363708A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Cyclic etch-ash process for semiconductor processing

Номер патента: US20240274432A1. Автор: Michael O'Toole,Gregory McKee,Gordon Nielsen,Aravindsekar Pandiasekar. Владелец: Texas Instruments Inc. Дата публикации: 2024-08-15.

Semiconductor device, method of positioning semiconductor device, and positioning apparatus for semiconductor device

Номер патента: US20140339711A1. Автор: Masato Mikami. Владелец: Olympus Corp. Дата публикации: 2014-11-20.

Contact process and contact structure for semiconductor device

Номер патента: US09870943B2. Автор: Zong-Jie Ko,Hsiao-Leng Li. Владелец: Macronix International Co Ltd. Дата публикации: 2018-01-16.

Dual silicide wrap-around contacts for semiconductor devices

Номер патента: WO2020176814A1. Автор: Hiroaki Niimi. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2020-09-03.

High Aspect Ratio Via Etch Using Atomic Layer Deposition Protection Layer

Номер патента: US20190181041A1. Автор: Xinghua Sun,Yen-Tien Lu,Eric Chih-Fang Liu,Andrew W. METZ. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-06-13.

Bit line barrier metal layer for semiconductor device and process for preparing the same

Номер патента: US7435670B2. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-10-14.

Bit line barrier metal layer for semiconductor device and process for preparing the same

Номер патента: US7276725B2. Автор: Byung Soo Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-10-02.

Diffusion barrier layer for semiconductor device and fabrication method thereof

Номер патента: US20030047811A1. Автор: Sung-Man Lee,Jae-Hee Ha,Hong Baik. Владелец: LG Semicon Co Ltd. Дата публикации: 2003-03-13.

Intelligent uniform masks for semiconductor fabrication

Номер патента: US20160161843A1. Автор: Tammy DongLei ZHENG. Владелец: Broadcom Corp. Дата публикации: 2016-06-09.

Replacement gate structure with low-K sidewall spacer for semiconductor devices

Номер патента: US09431507B2. Автор: Ruilong Xie,Kisik Choi. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-30.

Semiconductor device manufacturing apparatus

Номер патента: US20120132365A1. Автор: Shigeru Tahara,Ryuichi Asako,Gousuke Shiraishi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-05-31.

Semiconductor device manufacturing method and semiconductor device manufacturing apparatus

Номер патента: US8101507B2. Автор: Shigeru Tahara,Ryuichi Asako,Gousuke Shiraishi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-01-24.

Method for via formation in a semiconductor device

Номер патента: US20120302062A1. Автор: Yi Nan Chen,Hsien Wen Liu,Chih Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-29.

Replacement gate process for semiconductor devices

Номер патента: US20230386937A1. Автор: Ying-Ho Chen,Yung-Cheng Lu,Yu-Jen Shen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Borderless Contacts For Semiconductor Devices

Номер патента: US20120322251A1. Автор: Kangguo Cheng,Bruce B. Doris,Keith Kwong Hon Wong. Владелец: International Business Machines Corp. Дата публикации: 2012-12-20.

Self-aligned patterning technique for semiconductor device features

Номер патента: US20150004800A1. Автор: Jing Li,Chung H. Lam. Владелец: International Business Machines Corp. Дата публикации: 2015-01-01.

Method for fabricating semiconductor device with protection liner for bit line

Номер патента: US12057348B2. Автор: Huan-Yung Yeh,Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2024-08-06.

Method of forming multilayered conductive layers for semiconductor device

Номер патента: US20030235977A1. Автор: Tetsuo Usami. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-12-25.

Method for fabricating semiconductor device with protection liner for bit line

Номер патента: US20240355674A1. Автор: Huan-Yung Yeh,Chun-Chi Lai. Владелец: Nanya Technology Corp. Дата публикации: 2024-10-24.

Method for forming patterns for semiconductor device

Номер патента: US09875927B2. Автор: Home-Been Cheng,Tzu-Hao Fu,Tsung-Yin HSIEH,Ci-Dong Chu. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-23.

Test circuit and method for semiconductor device

Номер патента: US09702931B2. Автор: Dong-Uk Lee. Владелец: SK hynix Inc. Дата публикации: 2017-07-11.

Method for semiconductor device fabrication

Номер патента: US09685534B2. Автор: Wei-Yuan Lu,Chun Hsiung Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-20.

Method for forming patterns for semiconductor device

Номер патента: US09536751B2. Автор: Home-Been Cheng,Tzu-Hao Fu,Tsung-Yin HSIEH,Ci-Dong Chu. Владелец: United Microelectronics Corp. Дата публикации: 2017-01-03.

Manufacturing method for semiconductor device and semiconductor device

Номер патента: US20190006268A1. Автор: Masatoshi Sugiura,Hiroi Oka. Владелец: Renesas Electronics Corp. Дата публикации: 2019-01-03.

Manufacturing method for semiconductor device and semiconductor device

Номер патента: US10388597B2. Автор: Masatoshi Sugiura,Hiroi Oka. Владелец: Renesas Electronics Corp. Дата публикации: 2019-08-20.

Process for producing semiconductor device

Номер патента: MY117750A. Автор: Kazuhiro Takahashi,Takashi Sugino,Hideo Senoo. Владелец: Lintec Corp. Дата публикации: 2004-07-31.

Resin-sealed-type semiconductor device, and production process for producing such semiconductor device

Номер патента: TW200414465A. Автор: Naoto Kimura. Владелец: NEC Electronics Corp. Дата публикации: 2004-08-01.

Process for producing optical semiconductor device

Номер патента: EP1580818A1. Автор: Yuji Hotta,Ichirou Suehiro. Владелец: Nitto Denko Corp. Дата публикации: 2005-09-28.

Manufacturing method for semiconductor device and semiconductor device

Номер патента: US10043702B2. Автор: Tomohiko Aika. Владелец: Renesas Electronics Corp. Дата публикации: 2018-08-07.

Substrate noise isolation structures for semiconductor devices

Номер патента: US20180083096A1. Автор: Jing Jing,Shuxian Wu,Jane Sowards. Владелец: Xilinx Inc. Дата публикации: 2018-03-22.

Substrate noise isolation structures for semiconductor devices

Номер патента: WO2018057253A1. Автор: Jing Jing,Jane W. Sowards,Shuxian Wu. Владелец: XILINX, INC.. Дата публикации: 2018-03-29.

Substrate noise isolation structures for semiconductor devices

Номер патента: EP3501039A1. Автор: Jing Jing,Jane W. Sowards,Shuxian Wu. Владелец: Xilinx Inc. Дата публикации: 2019-06-26.

Substrate noise isolation structures for semiconductor devices

Номер патента: US09923051B1. Автор: Jing Jing,Shuxian Wu,Jane Sowards. Владелец: Xilinx Inc. Дата публикации: 2018-03-20.

Isolation structures for semiconductor devices

Номер патента: US12057449B2. Автор: Yi-Jing Lee,Chia-Der Chang,Chao-Shuo Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Isolation structures for semiconductor devices

Номер патента: US20240312990A1. Автор: Yi-Jing Lee,Chia-Der Chang,Chao-Shuo Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-19.

Method and apparatus for semiconductor device with reduced device footprint

Номер патента: US09978635B2. Автор: Chien-Hsien Song. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2018-05-22.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20160005814A1. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-07.

Dummy gate structure for semiconductor devices

Номер патента: US09627475B2. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

Method of manufacturing isolation structure for semiconductor device

Номер патента: US10256136B2. Автор: Eun-Jeong Kim,Han-Sang Song,Su-ho Kim,Jin-Yul Lee. Владелец: SK hynix Inc. Дата публикации: 2019-04-09.

Trench structure for semiconductor device

Номер патента: US20240112965A1. Автор: Takashi Hisada,Toyohiro Aoki,Marc A. Bergendahl,Chinami MARUSHIMA. Владелец: International Business Machines Corp. Дата публикации: 2024-04-04.

Semiconductor device and manufacturing method for semiconductor device

Номер патента: US09929259B2. Автор: Hiroyuki Tanaka. Владелец: Lapis Semiconductor Co Ltd. Дата публикации: 2018-03-27.

Semiconductor device and manufacturing method for semiconductor device

Номер патента: US09620629B2. Автор: Hiroyuki Tanaka. Владелец: Lapis Semiconductor Co Ltd. Дата публикации: 2017-04-11.

Packaging systems and methods for semiconductor devices

Номер патента: US20240339429A1. Автор: Arun Ramakrishnan,Sam Ziqun Zhao,Teong Swee Tan. Владелец: Avago Technologies International Sales Pte Ltd. Дата публикации: 2024-10-10.

Packaging systems and methods for semiconductor devices

Номер патента: EP4443481A2. Автор: Arun Ramakrishnan,Sam Ziqun Zhao,Teong Swee Tan. Владелец: Avago Technologies International Sales Pte Ltd. Дата публикации: 2024-10-09.

Semiconductor device, and manufacturing method for semiconductor device

Номер патента: WO2015033209A9. Автор: Norimune ORIMOTO. Владелец: TOYOTA JIDOSHA KABUSHIKI KAISHA. Дата публикации: 2016-04-14.

Semiconductor device, manufacturing method for semiconductor device, and electronic apparatus

Номер патента: US10886407B2. Автор: Yuki Yanagisawa. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2021-01-05.

Method for fabricating silicide layers for semiconductor device

Номер патента: US7446008B2. Автор: Dong Yeal Keum. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-11-04.

False collectors and guard rings for semiconductor devices

Номер патента: US20230317775A1. Автор: Alexei Sadovnikov,Guruvayurappan S. MATHUR. Владелец: Texas Instruments Inc. Дата публикации: 2023-10-05.

Semiconductor device packaging warpage control

Номер патента: US11728285B2. Автор: Vivek Gupta,Michael B. Vincent,Scott M. Hayes,Zhiwei Gong,Richard Te GAN. Владелец: NXP USA Inc. Дата публикации: 2023-08-15.

Method of forming isolation layer for semiconductor device

Номер патента: US5913133A. Автор: Byung Seok Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1999-06-15.

Semiconductor device and manufacturing method thereof

Номер патента: US10446648B2. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-10-15.

Manufacturing method for semiconductor device using resist patterns and impurity injections

Номер патента: US09583347B2. Автор: Mikio Arakawa,Satoshi Yoshizaki. Владелец: Canon Inc. Дата публикации: 2017-02-28.

Sic multilayer body, production method therefor, and semiconductor device

Номер патента: EP4135047A1. Автор: Hiroyuki Nagasawa. Владелец: Cusic Inc. Дата публикации: 2023-02-15.

Pad structure and testkey structure and testing method for semiconductor device

Номер патента: US11906577B2. Автор: Le Li,Jiwei He,Linzhi LU. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2024-02-20.

Contact structure for securing a semiconductor substrate to a mounting body

Номер патента: CA1189984A. Автор: Hirotsugu Hattori,Masahiro Kuwagata. Владелец: Matsushita Electronics Corp. Дата публикации: 1985-07-02.

Power Semiconductor Device with Reduced Contact Resistance

Номер патента: US20130175690A1. Автор: Mark Pavier,Sven Fuchs. Владелец: International Rectifier Corp USA. Дата публикации: 2013-07-11.

Photo-imaged stress management layer for semiconductor devices

Номер патента: US20060199282A1. Автор: James Guenter,Robert Hawthorne,Jose Aizpuru. Владелец: Finisar Corp. Дата публикации: 2006-09-07.

Fabrication method for semiconductor device and semiconductor device

Номер патента: US9040410B2. Автор: XIN YANG. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2015-05-26.

Fabrication Method For Semiconductor Device And Semiconductor Device

Номер патента: US20140145354A1. Автор: XIN YANG. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2014-05-29.

Stiffener frame for semiconductor device packages

Номер патента: EP4399740A1. Автор: Han-Wen Chen,Steven Verhaverbeke,Giback Park. Владелец: Applied Materials Inc. Дата публикации: 2024-07-17.

Ground shields for semiconductors

Номер патента: WO2007120322A2. Автор: Robert A. Pryor,Daniel J. Lamey,Xiaowei Ren. Владелец: Freescale Semiconductor Inc.. Дата публикации: 2007-10-25.

Process monitor mark and the method for using the same

Номер патента: US20070178659A1. Автор: Chin-Cheng Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-08-02.

Alignment mark for semiconductor device, and semiconductor device

Номер патента: US20070257288A1. Автор: Hiroshi Fukuda,Takafumi Noda. Владелец: Seiko Epson Corp. Дата публикации: 2007-11-08.

Bonding member for semiconductor device

Номер патента: US12119322B2. Автор: Akira Fukui,Toshie FUKUI. Владелец: SUPERUFO291 TEC. Дата публикации: 2024-10-15.

Process for forming a semiconductor device

Номер патента: US5928962A. Автор: Janos Farkas,Sanjit K. Das,George R. Meyer. Владелец: Motorola Inc. Дата публикации: 1999-07-27.

Manufacturing method for semiconductor chips and semiconductor wafer

Номер патента: WO2006075725A3. Автор: Kiyoshi Arita,Teruaki Nishinaka. Владелец: Teruaki Nishinaka. Дата публикации: 2007-02-08.

Plasma etching process

Номер патента: US5405491A. Автор: Iraj E. Shahvandi,Carol Gelatos,Leroy Grant, Jr.. Владелец: Motorola Inc. Дата публикации: 1995-04-11.

Semiconductor Device and Method

Номер патента: US20200098752A1. Автор: Shih-Chieh Chang,Cheng-Han Lee,Yi-Min Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Semiconductor Device and Method

Номер патента: US20180151563A1. Автор: Shih-Chieh Chang,Cheng-Han Lee,Yi-Min Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-31.

Semiconductor Device and Method

Номер патента: US20190103403A1. Автор: Shih-Chieh Chang,Cheng-Han Lee,Yi-Min Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-04.

Method for fabricating a semiconductor device

Номер патента: US20040013867A1. Автор: MARK Martin. Владелец: Individual. Дата публикации: 2004-01-22.

Method for manufacturing semiconductor device

Номер патента: US09608123B2. Автор: Shinya Sasagawa,Hideomi Suzawa,Yuji EGI. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-03-28.

Gettering process for producing semiconductor device

Номер патента: US09508802B2. Автор: Tsunenobu Kimoto,Akinori Seki,Katsunori Danno,Hiroaki Saitoh. Владелец: Kyoto University NUC. Дата публикации: 2016-11-29.

Process for producing semiconductor device and apparatus therefor

Номер патента: US20120251968A1. Автор: Hiroyuki Matsui,Yutaka Makino,Yoshito Akutagawa. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2012-10-04.

Method for manufacturing semiconductor device

Номер патента: US20060046421A1. Автор: Yoshitake Kato,Tomoe Yamamoto,Naomi Fukumaki,Tomohisa Iino. Владелец: NEC Electronics Corp. Дата публикации: 2006-03-02.

Process for the preparation of semiconductor devices

Номер патента: US5158904A. Автор: Takashi Ueda. Владелец: Sharp Corp. Дата публикации: 1992-10-27.

A selective etch process for making a semiconductor device having a high-k gate dielectric

Номер патента: TWI239563B. Автор: Shah Uday,Mark Doczy,Justin Brask,Robert Chau,Jack Kavalieros. Владелец: Intel Corp. Дата публикации: 2005-09-11.

Process for fabrication of semiconductor device

Номер патента: TW557501B. Автор: Toshihide Nabatame,Yuichi Matsui,Masahiko Hiratani,Yasuhiro Shimamoto. Владелец: HITACHI LTD. Дата публикации: 2003-10-11.

Same-side gated process for encapsulating semiconductor devices

Номер патента: US5254501A. Автор: Richard K. Tung,Joel J. Camarda. Владелец: Cypress Semiconductor Corp. Дата публикации: 1993-10-19.

Process for etching semiconductor devices

Номер патента: US5344525A. Автор: David A. Cathey, Jr.. Владелец: Micron Technology Inc. Дата публикации: 1994-09-06.

Process for producing semiconductor device and semiconductor device

Номер патента: US20150064882A1. Автор: Tsunenobu Kimoto,Akinori Seki,Katsunori Danno,Hiroaki Saitoh. Владелец: Individual. Дата публикации: 2015-03-05.

Method for fabricating a semiconductor device

Номер патента: WO2002059939A3. Автор: Mark N Martin. Владелец: Mark N Martin. Дата публикации: 2003-01-03.

PROCESS FOR MANUFACTURING A SEMICONDUCTOR DEVICE COMPRISING AN EMPTY TRENCH STRUCTURE AND SEMICONDUCTOR DEVICE MANUFACTURED THEREBY

Номер патента: US20160141428A1. Автор: Fiumara Antonino. Владелец: . Дата публикации: 2016-05-19.

PROCESS FOR MANUFACTURING A SEMICONDUCTOR DEVICE AND DEVICE THUS OBTAINED

Номер патента: FR2290033A1. Автор: . Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1976-05-28.

Fabrication of a semiconductor device containing deep emitter and another transistor with shallow doped region

Номер патента: US4783423A. Автор: Tunenori Yamauchi. Владелец: Fujitsu Ltd. Дата публикации: 1988-11-08.

Process for preparing semiconductor device

Номер патента: CA2050781C. Автор: Masaru Sakamoto,Masakazu Morishita,Shigeru Nishimura. Владелец: Canon Inc. Дата публикации: 1997-11-18.

Process for fabricating organic semiconductor devices using ink-jet printing technology and device and system employing same

Номер патента: CA2306948A1. Автор: Yang Yang. Владелец: Yang Yang. Дата публикации: 1999-04-29.

Process for producing semiconductor device

Номер патента: US5147810A. Автор: Kenichi Suzuki. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 1992-09-15.

Process for preparing semiconductor device

Номер патента: CA2050781A1. Автор: Masaru Sakamoto,Masakazu Morishita,Shigeru Nishimura. Владелец: Individual. Дата публикации: 1992-03-08.

Process for manufacturing a semiconductor device, a semiconductor device and a high-frequency circuit

Номер патента: US20060071214A1. Автор: Christoph Bromberger. Владелец: Atmel Germany GmbH. Дата публикации: 2006-04-06.

Process and system for manufacturing an encapsulated semiconductor device

Номер патента: US20090011549A1. Автор: Agatino Minotti. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2009-01-08.

Semiconductor device and manufacturing process therefor

Номер патента: US20110207272A1. Автор: Takashi Hase. Владелец: NEC Corp. Дата публикации: 2011-08-25.

Semiconductor device and a process for forming the semiconductor device

Номер патента: TW473956B. Автор: Stuart E Greer. Владелец: Motorola Inc. Дата публикации: 2002-01-21.

Process for production of semiconductor device, and plasma doping device

Номер патента: TW201133647A. Автор: Shigenori Hayashi,Yuichiro Sasaki,Masafumi Kubota. Владелец: Panasonic Corp. Дата публикации: 2011-10-01.

Process for production of semiconductor device and cleaning device used therein

Номер патента: GB9905302D0. Автор: . Владелец: NEC Corp. Дата публикации: 1999-04-28.

Semiconductor device and process for manufacturing the same

Номер патента: US20040124479A1. Автор: TAKAGI Takeshi. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2004-07-01.

POLISHING PAD, PROCESS FOR PREPARING THE SAME, AND PROCESS FOR PREPARING A SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20210122006A1. Автор: SEO Jang Won,YUN Sunghoon,HEO Hye Young. Владелец: . Дата публикации: 2021-04-29.

FIN CUTTING PROCESS FOR MANUFACTURING FINFET SEMICONDUCTOR DEVICES

Номер патента: US20170250088A1. Автор: Derderian Garo Jacques. Владелец: . Дата публикации: 2017-08-31.

Process for fabricating a semiconductor device

Номер патента: US6656021B2. Автор: Katsuhiro Ota,Akio Saito. Владелец: HITACHI LTD. Дата публикации: 2003-12-02.

Process for manufacture of semiconductor devices

Номер патента: WO2013168047A1. Автор: Diana Franz,Bastian Marten Noller. Владелец: Basf Schweiz Ag. Дата публикации: 2013-11-14.

Process for fabricating a semiconductor device

Номер патента: US20020034925A1. Автор: Katsuhiro Ota,Akio Saito. Владелец: Akio Saito. Дата публикации: 2002-03-21.

Process for manufacture of semiconductor devices

Номер патента: EP2847785A4. Автор: Diana Franz,Bastian Marten Noller. Владелец: BASF SE. Дата публикации: 2016-03-16.

Process for manufacture of semiconductor devices

Номер патента: EP2847785A1. Автор: Diana Franz,Bastian Marten Noller. Владелец: BASF SE. Дата публикации: 2015-03-18.

Process for fabricating a semiconductor device using dual planarization layers

Номер патента: US5459096A. Автор: Suresh Venkatesan,Stephen Poon. Владелец: Motorola Inc. Дата публикации: 1995-10-17.

Selective cleaning process for fabricating a semiconductor device

Номер патента: US5478436A. Автор: Paul M. Winebarger,Mark A. Zaleski,Troy B. Morrison,Jeffrey J. Sultemeier. Владелец: Motorola Inc. Дата публикации: 1995-12-26.

Process for manufacturing a semiconductor device

Номер патента: US5021354A. Автор: James R. Pfiester. Владелец: Motorola Inc. Дата публикации: 1991-06-04.

Method for plasma etching in process for fabrication of semiconductor device

Номер патента: CN1164761A. Автор: 李辉健,文大植,金成经,金敬勋,郭奎焕. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1997-11-12.

Process for manufacturing a semiconductor device

Номер патента: KR100445790B1. Автор: 아오키히데미쓰,와타나베가오리. Владелец: 엔이씨 일렉트로닉스 가부시키가이샤. Дата публикации: 2004-08-25.

Process for forming a semiconductor device

Номер патента: KR100714661B1. Автор: 첸핑,배트나바칸타,츄이폴지.와이.,팜다니엘티.케이.. Владелец: 프리스케일 세미컨덕터, 인크.. Дата публикации: 2007-05-07.

PROCESS FOR PRODUCING A SEMICONDUCTOR DEVICE COMPRISING A FET TRANSISTOR WITH A T-GRID

Номер патента: FR2916083A1. Автор: Yasuki Aihara. Владелец: Mitsubishi Electric Corp. Дата публикации: 2008-11-14.

Process for isotropically etching semiconductor devices

Номер патента: US5358601A. Автор: David A. Cathey. Владелец: Micron Technology Inc. Дата публикации: 1994-10-25.

Process for production of semiconductor device

Номер патента: EP2602824A1. Автор: Takeyoshi Masuda. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2013-06-12.

Process for producing optical semiconductor device

Номер патента: EP1538681A2. Автор: Yuji Hotta,Takashi Kamada,Ichirou Suehiro,Naoki Sadayori. Владелец: Nitto Denko Corp. Дата публикации: 2005-06-08.

Method for plasma etching in process for fabrication of semiconductor device

Номер патента: CN1132232C. Автор: 李辉健,文大植,金成经,金敬勋,郭奎焕. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2003-12-24.

Solid source vaporizer for using ion implant process for manufacturing a semiconductor device

Номер патента: KR100688780B1. Автор: 김민주. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-03-02.

Process for manufacturing a semiconductor device

Номер патента: US20030194881A1. Автор: Tomoki Oku,Masahiro Totsuka,Ryo Hattori. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-10-16.

Process for mounting a semiconductor device

Номер патента: EP1544908A1. Автор: Takeshi Matsumura,Sadahito Misumi,Hiroyuki Kondou,Kazuhito Hosokawa. Владелец: Nitto Denko Corp. Дата публикации: 2005-06-22.

PROCESS FOR PRODUCING A SEMICONDUCTOR DEVICE OF A II-VI COMPOUND COMPRISING MERCURY

Номер патента: FR2688344A1. Автор: YOSHIDA Yasuaki. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-09-10.

Process for fabricating SiC semiconductor devices

Номер патента: US3956032A. Автор: J. Anthony Powell,Herbert A. Will. Владелец: National Aeronautics and Space Administration NASA. Дата публикации: 1976-05-11.

Process for manufacture of semiconductor device

Номер патента: CN88100817A. Автор: 吉利·德劳希,奥托·库恩. Владелец: BBC BROWN BOVERI and Co Ltd. Дата публикации: 1988-11-30.

Process for preparing a semiconductor device including the selective deposition of a metal

Номер патента: EP0466320A2. Автор: Yasue C/O Canon Kabushiki Kaisha Sato. Владелец: Canon Inc. Дата публикации: 1992-01-15.

Manufacturing process for large matrix semiconductor devices

Номер патента: DE68926033D1. Автор: Almon P Fisher,Donald J Drake. Владелец: Xerox Corp. Дата публикации: 1996-04-25.

Process for forming nitride semiconductor device

Номер патента: CN112768520A. Автор: 中野拓真. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2021-05-07.

Process for forming a semiconductor device and a conductive structure

Номер патента: SG89366A1. Автор: Maiti Bikas,Adetutu Olubunmi,J Tobin Philip. Владелец: Motorola Inc. Дата публикации: 2002-06-18.

Process for making a semiconductor device

Номер патента: ZA844513B. Автор: Gustaaf Schols. Владелец: Int Standard Electric Corp. Дата публикации: 1985-02-27.

PERFECTED PROCESS FOR MANUFACTURING A SEMICONDUCTOR DEVICE

Номер патента: FR2334206A1. Автор: . Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1977-07-01.

Process for manufacturing a semiconductor device

Номер патента: KR100536113B1. Автор: 하또리료,토쓰카마사히로,오쿠토모키. Владелец: 미쓰비시덴키 가부시키가이샤. Дата публикации: 2005-12-14.

PROCESS FOR PRODUCING A SEMICONDUCTOR DEVICE

Номер патента: FR2779008B1. Автор: Won Suk Yang,Chang Hyum Cho,Ki Nam Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-08-26.

Process for manufactuirng super-barrier rectifiers

Номер патента: US20140087539A1. Автор: Francesco Lizio. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2014-03-27.

Package structure for semiconductor device and preparation method thereof

Номер патента: US12040241B2. Автор: CHEN Liu,Yuming Zhang,Hongliang LV. Владелец: Xidian University. Дата публикации: 2024-07-16.

Connectable package extender for semiconductor device package

Номер патента: US09892991B2. Автор: Theng Chao Long,Tian San Tan,Ming Kai Benny Goh. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-02-13.

A die attachment method for semiconductor devices and corresponding semiconductor device

Номер патента: EP3754703A1. Автор: Mr. Paolo CREMA. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2020-12-23.

Die attachment method for semiconductor devices and corresponding semiconductor device

Номер патента: US20200402895A1. Автор: Paolo Crema. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2020-12-24.

Plasma dicing for semiconductor device fabrication

Номер патента: WO2024228887A1. Автор: Yichen WANG,Tsung Che Tsai,Raj K. Bansal,Vibhav GUPTA,Wie Chang WONG. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2024-11-07.

Die attachment method for semiconductor devices and corresponding semiconductor device

Номер патента: US20230187296A1. Автор: Nicoletta Modarelli,Guendalina Catalano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2023-06-15.

Semiconductor device and manufacturing method for semiconductor device

Номер патента: US20230170324A1. Автор: Kousuke Hirata,Ryousuke Kouda. Владелец: Hamamatsu Photonics KK. Дата публикации: 2023-06-01.

Packaged semiconductor devices and methods of packaging thereof

Номер патента: US10269693B2. Автор: Hsien-Wei Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-23.

Hybrid mold chase surface for semiconductor bonding and related systems and methods

Номер патента: US20230268198A1. Автор: Byung Hoon Moon. Владелец: Micron Technology Inc. Дата публикации: 2023-08-24.

Manufacturing method for semiconductor devices

Номер патента: US8017440B2. Автор: Yuichi Machida. Владелец: Renesas Electronics Corp. Дата публикации: 2011-09-13.

Hybrid bonding for semiconductor device assemblies

Номер патента: WO2024177811A1. Автор: Bharat Bhushan,Wei Zhou,Kyle K. Kirby,Akshay N. Singh,Debjit Datta,Chaiyanan Kulchaisit. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2024-08-29.

Hybrid bonding for semiconductor device assemblies

Номер патента: US20240282731A1. Автор: Bharat Bhushan,Wei Zhou,Kyle K. Kirby,Akshay N. Singh,Debjit Datta,Chaiyanan Kulchaisit. Владелец: Micron Technology Inc. Дата публикации: 2024-08-22.

Package for semiconductor device and method of manufacturing it

Номер патента: IE54664B1. Автор: . Владелец: Fujitsu Ltd. Дата публикации: 1990-01-03.

Semiconductor device assembly with graded modulus underfill and associated methods and systems

Номер патента: US20220068666A1. Автор: Jungbae Lee,Chih Hong Wang. Владелец: Micron Technology Inc. Дата публикации: 2022-03-03.

Semiconductor device assembly with graded modulus underfill and associated methods and systems

Номер патента: US11682563B2. Автор: Jungbae Lee,Chih Hong Wang. Владелец: Micron Technology Inc. Дата публикации: 2023-06-20.

Manufacturing method for semiconductor device

Номер патента: US20210193523A1. Автор: Tomoaki Ichikawa,Ryota Mita. Владелец: Nitto Denko Corp. Дата публикации: 2021-06-24.

Manufacturing method for semiconductor device

Номер патента: EP3817034A1. Автор: Tomoaki Ichikawa,Ryota Mita. Владелец: Nitto Denko Corp. Дата публикации: 2021-05-05.

Semiconductor package and method for fabricating base for semiconductor package

Номер патента: EP4235762A3. Автор: Wen-Sung Hsu,Ta-Jen Yu,Tzu-Hung Lin,Andrew C. Chang. Владелец: MediaTek Inc. Дата публикации: 2023-09-20.

Ball bonding for semiconductor devices

Номер патента: US20240290746A1. Автор: Tingting Yu,Ye Zhuang,Xiao Lin Kang,Xiaoling Kang,Zi Qi Wang,Jiafeng LIAO. Владелец: Texas Instruments Inc. Дата публикации: 2024-08-29.

Manufacturing method for semiconductor device

Номер патента: US20240282634A1. Автор: Yuki Nakano. Владелец: ROHM CO LTD. Дата публикации: 2024-08-22.

Testkey structure for semiconductor device

Номер патента: US20230245934A1. Автор: Rong He,Chin-Chun Huang,Hailong Gu,Wen Yi Tan,Zhi Xiang Qiu. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-08-03.

Testkey structure for semiconductor device

Номер патента: US12094790B2. Автор: Rong He,Chin-Chun Huang,Hailong Gu,Wen Yi Tan,Zhi Xiang Qiu. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2024-09-17.

Test apparatus for semiconductor device and method of manufacturing semiconductor device

Номер патента: US20240345156A1. Автор: Takuya Yoshimura. Владелец: Mitsubishi Electric Corp. Дата публикации: 2024-10-17.

Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device

Номер патента: US20100136716A1. Автор: Hisashi Okuchi. Владелец: Toshiba Corp. Дата публикации: 2010-06-03.

Adhesive film for semiconductor, semiconductor device, and manufacturing method of semiconductor device.

Номер патента: JP4449325B2. Автор: 範行 大東. Владелец: Sumitomo Bakelite Co Ltd. Дата публикации: 2010-04-14.

ADHESIVE FOR SEMICONDUCTOR, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SAID DEVICE

Номер патента: US20200095481A1. Автор: HONDA Kazutaka,Nagai Akira,ONO Keishi,CHABANA Koichi. Владелец: . Дата публикации: 2020-03-26.

ADHESIVE FOR SEMICONDUCTOR, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SAID DEVICE

Номер патента: US20180312731A1. Автор: HONDA Kazutaka,Nagai Akira,ONO Keishi,CHABANA Koichi. Владелец: . Дата публикации: 2018-11-01.

PROTECTIVE FILM FOR SEMICONDUCTORS, SEMICONDUCTOR DEVICE, AND COMPOSITE SHEET

Номер патента: US20180138141A1. Автор: OKAMOTO Naoya,Horigome Katsuhiko,Ikeda Ryohei. Владелец: . Дата публикации: 2018-05-17.

A semiconductor device with an improved gate electrode pattern and a method of manufacturing the same

Номер патента: US20020030236A1. Автор: Hisato Oyamatsu. Владелец: Individual. Дата публикации: 2002-03-14.

Process for producing semiconductor device

Номер патента: MY149401A. Автор: Banba Toshio. Владелец: Sumitomo Bakelite Co. Дата публикации: 2013-08-30.

Process for forming a semiconductor device

Номер патента: TW365044B. Автор: Sufi Zafar. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 1999-07-21.

Process for producing a semiconductor device having hemispherical grains

Номер патента: GB9815646D0. Автор: . Владелец: NEC Corp. Дата публикации: 1998-09-16.

Process for producing a semiconductor device having hemispherical grains

Номер патента: GB2329279B. Автор: Hirohito Watanabe. Владелец: NEC Corp. Дата публикации: 2000-01-12.

Process for production of semiconductor device, and semiconductor device

Номер патента: WO2011030735A1. Автор: 正樹 笠原. Владелец: ミツミ電機株式会社. Дата публикации: 2011-03-17.

Process for manufacturing semiconductor device

Номер патента: US20050074916A1. Автор: Jui-Hsiang Pan,Cheng-Kuang Sun,Kuang-Shin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2005-04-07.

Process for manufacturing semiconductor device

Номер патента: US20050095739A1. Автор: Jui-Hsiang Pan,Cheng-Kuang Sun,Kuang-Shin Lee. Владелец: Individual. Дата публикации: 2005-05-05.

Process for production of semiconductor device

Номер патента: JPS5245264A. Автор: Hiroshi Takayama. Владелец: NEC Home Electronics Ltd. Дата публикации: 1977-04-09.

Process for production of semiconductor device

Номер патента: JPS5240980A. Автор: Masao Tsuruoka. Владелец: HITACHI LTD. Дата публикации: 1977-03-30.

A process for fabricating a semiconductor device

Номер патента: EP0430429A3. Автор: Hsingya Arthur Wang. Владелец: Advanced Micro Devices Inc. Дата публикации: 1991-10-16.

Process for producing a semiconductor device with a roughened semiconductor surface

Номер патента: US6309953B1. Автор: HELMUT Fischer,Ernst Nirschl,Reinhard Sedlmeier,Gisela Lang. Владелец: SIEMENS AG. Дата публикации: 2001-10-30.

Plasma treatment for semiconductor devices

Номер патента: US09418955B2. Автор: Chen-Hua Yu,Chen-Fa Lu,Chung-Shi Liu,Wei-Yu Chen,Cheng-Ting Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-16.

Passivation structures for semiconductor devices

Номер патента: EP4241307A1. Автор: Sei-Hyung Ryu,Brett Hull,Edward Robert Van Brunt,Joe W. McPherson,In-Hwan Ji,III Thomas E. HARRINGTON. Владелец: Wolfspeed Inc. Дата публикации: 2023-09-13.

Semiconductor device and mounting structure for semiconductor device

Номер патента: US20240203849A1. Автор: Ryosuke Fukuda,Kohei Tanikawa. Владелец: ROHM CO LTD. Дата публикации: 2024-06-20.

Cooling apparatus for semiconductor device

Номер патента: US20080180124A1. Автор: Young Bae Chung. Владелец: ISC Tech Co Ltd. Дата публикации: 2008-07-31.

Cooling apparatus for semiconductor device

Номер патента: SG144855A1. Автор: Young Bae Chung. Владелец: Isc Technology Co Ltd. Дата публикации: 2008-08-28.

Active protection circuits for semiconductor devices

Номер патента: US20230275042A1. Автор: Michael A. Smith,Kenneth W. Marr. Владелец: Micron Technology Inc. Дата публикации: 2023-08-31.

Semiconductor device and adjusting method for semiconductor device

Номер патента: US20080268555A1. Автор: Shigetaka Asano. Владелец: Fujitsu Ltd. Дата публикации: 2008-10-30.

Placement base for semiconductor device and vehicle equipment

Номер патента: US20180012821A1. Автор: Toyohide TAKAHASHI,Takuji YAMASHIRO. Владелец: Shindengen Electric Manufacturing Co Ltd. Дата публикации: 2018-01-11.

Semiconductor device and method of wire bonding for semiconductor device

Номер патента: US20040188858A1. Автор: Yoshifumi Watanabe. Владелец: Denso Corp. Дата публикации: 2004-09-30.

Compositions and methods for semiconductor processing and devices formed therefrom

Номер патента: US09793188B2. Автор: Arjun Mendiratta. Владелец: Equity Solar Inc. Дата публикации: 2017-10-17.

Packaging substrate for semiconductor devices, corresponding device and method

Номер патента: US20190172782A1. Автор: Federico Giovanni Ziglioli. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2019-06-06.

Semiconductor device and production method for semiconductor device

Номер патента: US12062634B2. Автор: Kazunori Fuji. Владелец: ROHM CO LTD. Дата публикации: 2024-08-13.

Semiconductor device with semiconductor chip on flexible tape

Номер патента: US20010050418A1. Автор: Chikara Yamashita. Владелец: NEC Corp. Дата публикации: 2001-12-13.

Package for semiconductor devices

Номер патента: US20100155933A1. Автор: Tadashi Kodaira,Jyunichi Nakamura,Shunichiro Matsumoto,Kazuhiko Ooi,Eisaku Watari. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2010-06-24.

Package for semiconductor devices

Номер патента: US20050006744A1. Автор: Tadashi Kodaira,Jyunichi Nakamura,Shunichiro Matsumoto,Kazuhiko Ooi,Eisaku Watari. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2005-01-13.

Package for semiconductor devices

Номер патента: US7696617B2. Автор: Tadashi Kodaira,Jyunichi Nakamura,Shunichiro Matsumoto,Kazuhiko Ooi,Eisaku Watari. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2010-04-13.

Bonding wire for semiconductor device

Номер патента: SG10201908464VA. Автор: Haibara Teruo,Uno Tomohiro,Yamada Takashi,Oda Daizo. Владелец: Nippon Steel Chemical & Material Co Ltd. Дата публикации: 2019-11-28.

Lead frame routed chip pads for semiconductor packages

Номер патента: WO2005004200A3. Автор: Shafidul Islam,Anang Subagio,San Antonio Romarico Santos. Владелец: San Antonio Romarico Santos. Дата публикации: 2006-02-16.

Cu alloy bonding wire for semiconductor device

Номер патента: PH12020551276A1. Автор: Takashi Yamada,Tomohiro Uno,Tetsuya Oyamada,Daizo Oda. Владелец: Nippon Steel Chemical And Mat Co Ltd. Дата публикации: 2021-05-31.

Cu alloy bonding wire for semiconductor device

Номер патента: EP3745450A1. Автор: Takashi Yamada,Tomohiro Uno,Tetsuya Oyamada,Daizo Oda. Владелец: Nippon Micrometal Corp. Дата публикации: 2020-12-02.

Cu ALLOY BONDING WIRE FOR SEMICONDUCTOR DEVICE

Номер патента: US20210043599A1. Автор: Takashi Yamada,Tomohiro Uno,Tetsuya Oyamada,Dizo ODA. Владелец: Nippon Street Chemical & Matertial Corp Co Ltd. Дата публикации: 2021-02-11.

Copper alloy bonding wire for semiconductor devices

Номер патента: US20230018430A1. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Teruo Haibara,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2023-01-19.

Copper alloy bonding wire for semiconductor devices

Номер патента: US20200312808A1. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Teruo Haibara,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2020-10-01.

Copper alloy bonding wire for semiconductor devices

Номер патента: PH12018502683B1. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Teruo Haibara,Motoki Eto. Владелец: Nippon Steel Chemical And Mat Co Ltd. Дата публикации: 2019-10-21.

Lead frame for semiconductor device and method of manufacturing of the same

Номер патента: US20110221052A1. Автор: Takahiro Fukunaga,Yasuko Imanishi. Владелец: Individual. Дата публикации: 2011-09-15.

Lead frame for semiconductor device and method of manufacturing of the same

Номер патента: US8110904B2. Автор: Takahiro Fukunaga,Yasuko Imanishi. Владелец: Panasonic Corp. Дата публикации: 2012-02-07.

Cu alloy bonding wire for semiconductor device

Номер патента: US10985130B2. Автор: Takashi Yamada,Tomohiro Uno,Tetsuya Oyamada,Daizo Oda. Владелец: Nippon Micrometal Corp. Дата публикации: 2021-04-20.

Manufacturing method for semiconductor device

Номер патента: US20010036685A1. Автор: Michiyoshi Takano. Владелец: Seiko Epson Corp. Дата публикации: 2001-11-01.

Lead frame for semiconductor device

Номер патента: US09472494B2. Автор: Jung Soo Park,Gi Jeong Kim,Joon Su Kim. Владелец: Amkor Technology Inc. Дата публикации: 2016-10-18.

Spot-Solderable Leads for Semiconductor Device Packages

Номер патента: US20190318983A1. Автор: Ken Pham,Manu A. Prakuzhy,Siva P. Gurrum,Daryl R. Heussner,Stefan W. Wiktor. Владелец: Texas Instruments Inc. Дата публикации: 2019-10-17.

Bonding wire for semiconductor device

Номер патента: MY164384A. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Teruo Haibara. Владелец: Nippon Steel & Sumikin Mat Co. Дата публикации: 2017-12-15.

Passivation layer for semiconductor device packaging

Номер патента: US20120208321A1. Автор: David Keating Foote,James Donald Getty. Владелец: Nordson Corp. Дата публикации: 2012-08-16.

Cu ALLOY CORE BONDING WIRE WITH Pd COATING FOR SEMICONDUCTOR DEVICE

Номер патента: US20190164927A1. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Teruo Haibara. Владелец: Nippon Micrometal Corp. Дата публикации: 2019-05-30.

Cu ALLOY CORE BONDING WIRE WITH Pd COATING FOR SEMICONDUCTOR DEVICE

Номер патента: US20200013747A1. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Teruo Haibara. Владелец: Nippon Micrometal Corp. Дата публикации: 2020-01-09.

Mounting jig for semiconductor device

Номер патента: US09877397B2. Автор: Mitsutoshi Sawano,Rikihiro Maruyama,Kenshi KAI,Nobuyuki KANZAWA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-01-23.

Unit for semiconductor device

Номер патента: US09854708B2. Автор: Takafumi Yamada,Yoshikazu Takahashi,Tetsuya Inaba,Katsuhiko Yanagawa,Yoshinari Ikeda. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-12-26.

Bonding wire for semiconductor device

Номер патента: US09773748B2. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Ryo Oishi. Владелец: Nippon Steel and Sumikin Materials Co Ltd. Дата публикации: 2017-09-26.

Jet impingement cooling for high power semiconductor devices

Номер патента: US20240312873A1. Автор: Jesse Emmett GALLOWAY. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-09-19.

Process monitoring method and process monitoring system

Номер патента: US12107021B2. Автор: Chunyang WANG,Xinran Liu,Changli Zhu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-01.

Upstream process monitoring for deposition and etch chambers

Номер патента: US20240312812A1. Автор: Matan Lapidot,Shay Yaari. Владелец: Inficon Inc. Дата публикации: 2024-09-19.

Alignment monitoring structure and alignment monitoring method for semiconductor devices

Номер патента: US09589854B2. Автор: Dominik Olligs. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-07.

Fabrication method for semiconductor device, exposure method, pattern correction method and semiconductor device

Номер патента: US7921386B2. Автор: Toshiyuki Ishimaru. Владелец: Sony Corp. Дата публикации: 2011-04-05.

Integrated critical dimension control for semiconductor device manufacturing

Номер патента: US20030015699A1. Автор: BO Su. Владелец: Applied Materials Inc. Дата публикации: 2003-01-23.

Process monitor device having a plurality of sensors arranged in concentric circles

Номер патента: US11735486B2. Автор: Kartik Ramaswamy,Leonard TEDESCHI. Владелец: Applied Materials Inc. Дата публикации: 2023-08-22.

Method for semiconductor device planarization

Номер патента: US20020151137A1. Автор: Byoung-Ho Kwon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-10-17.

Etching apparatus and method for semiconductor device

Номер патента: US20080248650A1. Автор: Tae-yong Kwon,Kyung Hyun Han,Kyung Chun Lim,Sang Min Jeong,Dong Yong Sung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-10-09.

Plasma etching method for semiconductor device and etching apparatus of the same

Номер патента: US20020137340A1. Автор: Kye-Hyun Baek,Kil-Ho Kim. Владелец: Individual. Дата публикации: 2002-09-26.

Gate Structures For Semiconductor Devices

Номер патента: US20240347393A1. Автор: Chung-Liang Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Gate structures for semiconductor devices

Номер патента: US12131955B2. Автор: Chung-Liang Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Method of reducing low-frequency noise for semiconductor devices and circuits

Номер патента: US6773936B2. Автор: Mikio Fujiwara,Makoto Akiba. Владелец: Communications Research Laboratory. Дата публикации: 2004-08-10.

Method of reducing low-frequency noise for semiconductor devices and circuits

Номер патента: US20030087508A1. Автор: Mikio Fujiwara,Makoto Akiba. Владелец: Communications Research Laboratory. Дата публикации: 2003-05-08.

Production managing system of semiconductor device

Номер патента: US20040167656A1. Автор: Keizo Yamada,Yousuke Itagaki,Takeo Ushiki,Tohru Tsujide. Владелец: Individual. Дата публикации: 2004-08-26.

Production managing system of semiconductor device

Номер патента: US6711453B2. Автор: Keizo Yamada,Yousuke Itagaki,Takeo Ushiki,Tohru Tsujide. Владелец: Fab Solutions Inc. Дата публикации: 2004-03-23.

Processing method for semiconductor surface defects and preparation method for semiconductor devices

Номер патента: US12033857B2. Автор: Xianghong Jiang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-09.

Tray for semiconductor devices

Номер патента: US09818632B2. Автор: Yu-Nan Lo. Владелец: Individual. Дата публикации: 2017-11-14.

Structure and method for semiconductor device

Номер патента: US09484265B2. Автор: Tze-Liang Lee,Chii-Horng Li,Kun-Mu Li,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-01.

Semiconductor device and manufacturing method for semiconductor device

Номер патента: US20230378252A1. Автор: Hiroshi Shibata. Владелец: Lapis Semiconductor Co Ltd. Дата публикации: 2023-11-23.

Film for semiconductor device, method for manufacturing semiconductor device, and semiconductor device

Номер патента: US20160322251A1. Автор: Ryuichi Kimura,Naohide Takamoto. Владелец: Nitto Denko Corp. Дата публикации: 2016-11-03.

Confined epitaxial regions for semiconductor devices

Номер патента: US12094955B2. Автор: Tahir Ghani,Szuya S. LIAO,Michael L. Hattendorf. Владелец: Intel Corp. Дата публикации: 2024-09-17.

Semiconductor device and driving method of semiconductor device

Номер патента: US7508035B2. Автор: Masanao Kobayashi. Владелец: Seiko Epson Corp. Дата публикации: 2009-03-24.

Dry etching process for compound semiconductors

Номер патента: WO2005079472A3. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: Northrop Grumman Corp. Дата публикации: 2006-04-20.

Dry etching process for compound semiconductors

Номер патента: WO2005079472A2. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: NORTHROP GRUMMAN CORPORATION. Дата публикации: 2005-09-01.

Methods for Semiconductor Process Chamber

Номер патента: US20240266149A1. Автор: Qi Wang,Akiteru Ko,Sergey Voronin,Hamed Hajibabaeinajafabadi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-08-08.

Supporting member for semiconductor elements, and method for driving supporting member for semiconductor elements

Номер патента: US20060040431A1. Автор: Masanao Kobayashi. Владелец: Seiko Epson Corp. Дата публикации: 2006-02-23.

Substrate for semiconductor device and method of manufacturing the same

Номер патента: US20020177328A1. Автор: Kimihiro Sasaki,Tomonobu Hata,Akira Kamisawa. Владелец: ROHM CO LTD. Дата публикации: 2002-11-28.

Dry etching process for compound semiconductors

Номер патента: EP1719160A2. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: Northrop Grumman Corp. Дата публикации: 2006-11-08.

Methods for semiconductor process chamber

Номер патента: WO2024163137A1. Автор: Qi Wang,Akiteru Ko,Sergey Voronin,Hamed Hajibabaeinajafabadi. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-08-08.

Semiconductor device, and production method for semiconductor device

Номер патента: US20240332410A1. Автор: Yuya Tsutsumi. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2024-10-03.

Manufacturing method for semiconductor device

Номер патента: US09852926B2. Автор: Kengo Akimoto,Yukinori Shima. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-12-26.

Manufacturing method for semiconductor device

Номер патента: US09761685B2. Автор: Toshikazu HANAWA. Владелец: Renesas Electronics Corp. Дата публикации: 2017-09-12.

Manufacturing line for semiconductor device and method of manufacturing semiconductor device

Номер патента: US09761471B2. Автор: Daisuke Sugizaki. Владелец: Toyota Motor Corp. Дата публикации: 2017-09-12.

PECVD protective layers for semiconductor devices

Номер патента: US09761439B2. Автор: Zoltan Ring,Daniel Namishia,Sei-Hyung Ryu. Владелец: Cree Inc. Дата публикации: 2017-09-12.

Semiconductor device, and production method for semiconductor device

Номер патента: US20240379767A1. Автор: Sadanori ARAE. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2024-11-14.

Substrate for semiconductor devices and method for producing same

Номер патента: EP4379774A1. Автор: Kazunori Hagimoto. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-06-05.

Buffer structure for semiconductor device and methods of fabrication

Номер патента: US20100163848A1. Автор: Prashant Majhi,Jack Kavalieros,Wilman Tsai. Владелец: Intel Corp. Дата публикации: 2010-07-01.

Source/drain epitaxial structures for semiconductor devices

Номер патента: US12100626B2. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Substrate for semiconductor device and method for manufacturing the same

Номер патента: US20240313086A1. Автор: Kazunori Hagimoto. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-09-19.

Method of peak on-state voltage reduction for semiconductor device fabrication

Номер патента: US20240290828A1. Автор: Jifeng Zhou,Xingchong Gu. Владелец: Littelfuse Semiconductor (Wuxi) Co Ltd. Дата публикации: 2024-08-29.

Source/drain epitaxial structures for semiconductor devices

Номер патента: US20240363437A1. Автор: Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Epoxy resin composition for semiconductor encapsulation and method for manufacturing semiconductor device

Номер патента: US09963587B2. Автор: Katsushi Kan,Yukari Kouno. Владелец: Nagase Chemtex Corp. Дата публикации: 2018-05-08.

Upstream process monitoring for deposition and etch chambers

Номер патента: EP4367713A1. Автор: Matan Lapidot,Shay Yaari. Владелец: Inficon Inc. Дата публикации: 2024-05-15.

Spacers for semiconductor device assemblies

Номер патента: US20240063166A1. Автор: Bong Woo Choi. Владелец: Micron Technology Inc. Дата публикации: 2024-02-22.

Method for manufacturing capacitor for semiconductor device

Номер патента: US20070020869A1. Автор: Chang Han. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-01-25.

Stock/transfer vessel for semiconductor substrate and method of manufacturing semiconductor device

Номер патента: US20010027028A1. Автор: Tatsuya Suzuki. Владелец: NEC Corp. Дата публикации: 2001-10-04.

Production method for semiconductor device

Номер патента: US09947761B2. Автор: Takashi Yoshimura,Yusuke Kobayashi. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-04-17.

Semiconductor device and method for fabricating the same

Номер патента: US09721804B1. Автор: Huang-Ren Wei,Hsuan-Sheng Lin. Владелец: United Microelectronics Corp. Дата публикации: 2017-08-01.

Combined SADP fins for semiconductor devices and methods of making the same

Номер патента: US09691775B1. Автор: Guillaume Bouche,Nicholas Vincent LICAUSI,Eric Scott Kozarsky. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-27.

Manufacturing method for semiconductor device, annealing device, and annealing method

Номер патента: US09449848B2. Автор: Kiyotaka Miyano,Tomonori Aoyama,Tatsunori Isogai,Wakana KAI. Владелец: Toshiba Corp. Дата публикации: 2016-09-20.

Manufacturing method for semiconductor device

Номер патента: US09412755B2. Автор: Kazuhiko Sato,Hiroshi Ishida. Владелец: Synaptics Display Devices GK. Дата публикации: 2016-08-09.

Etching method for semiconductor device

Номер патента: EP1511067A3. Автор: Fujio Masuoka,Takashi Yokoyama,Shinji Horii,Takuji Tanigami. Владелец: Sharp Corp. Дата публикации: 2005-08-31.

Etching method for semiconductor device

Номер патента: US20050037621A1. Автор: Fujio Masuoka,Takashi Yokoyama,Shinji Horii,Takuji Tanigami. Владелец: Sharp Corp. Дата публикации: 2005-02-17.

Manufacturing method for semiconductor device and manufacturing apparatus for semiconductor device

Номер патента: US7897524B2. Автор: Masaki Kamimura,Kenichi Yoshino. Владелец: Toshiba Corp. Дата публикации: 2011-03-01.

Gripper for semiconductor devices

Номер патента: WO2017172646A1. Автор: Emmanuel Chua Abas,Vergil Rodriguez Sandoval. Владелец: SunPower Corporation. Дата публикации: 2017-10-05.

Header for semiconductor package, and semiconductor package

Номер патента: US20220181525A1. Автор: Wataru KATAYAMA. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2022-06-09.

Storage apparatus for semiconductor devices and storage system including the same

Номер патента: US20240297060A1. Автор: Sanghyuk PARK,Jihun Kim,Youngon OH. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-05.

Capacitor for semiconductor memory device and method of manufacturing the same

Номер патента: US20030190783A1. Автор: Dong Kim,Kee Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2003-10-09.

Etchant composition for semiconductor substrates

Номер патента: US20220348825A1. Автор: Myung Ho Lee,Hak Soo Kim,Jeong Sik OH. Владелец: ENF Technology CO Ltd. Дата публикации: 2022-11-03.

Method of glass deposition for semiconductor device fabrication

Номер патента: US20240258099A1. Автор: Lei He,Jifeng Zhou,Xingchong Gu. Владелец: Littelfuse Semiconductor (Wuxi) Co Ltd. Дата публикации: 2024-08-01.

Header for semiconductor package, and semiconductor package

Номер патента: US12087886B2. Автор: Wataru KATAYAMA. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2024-09-10.

Method of glass deposition for semiconductor device fabrication

Номер патента: EP4415053A1. Автор: Lei He,Jifeng Zhou,Xingchong Gu. Владелец: Littelfuse Semiconductor (Wuxi) Co Ltd. Дата публикации: 2024-08-14.

Structure and method for semiconductor device

Номер патента: US09825036B2. Автор: Ming-Hua Yu,Tsz-Mei Kwok,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-21.

Method for semiconductor wafer alignment

Номер патента: US09601436B2. Автор: De-Fang Huang,Hsiao-Yi WANG,Shing-Kuei LAI,Wei-Yueh Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-21.

Crystalline-amorphous transition material for semiconductor devices and method for formation

Номер патента: US09553181B2. Автор: LONG Yang. Владелец: Toshiba Corp. Дата публикации: 2017-01-24.

Improved rails for semiconductor wafer carriers

Номер патента: EP1735818A1. Автор: Steven A. Brown,Thomas Werninghaus,Manuel Gonzales,Claudia Kopel. Владелец: Poco Graphite Inc. Дата публикации: 2006-12-27.

Method for manufacturing semiconductor device

Номер патента: US20220406611A1. Автор: WU LI,Atsushi Takahashi,Tsubasa IMAMURA,Yuto Itagaki,Minki Chou. Владелец: Kioxia Corp. Дата публикации: 2022-12-22.

Method for manufacturing gate in semiconductor device

Номер патента: US20020001934A1. Автор: Hai Won Kim,Kwang Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-01-03.

Manufacturing method for semiconductor device with point defect region doped with transition metal

Номер патента: US09680034B2. Автор: Shoji Kitamura. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-06-13.

Semiconductor device and method of manufacturing the same

Номер патента: US09653564B2. Автор: Nariaki Tanaka,Tohru Oka. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2017-05-16.

Method and device using silicide contacts for semiconductor processing

Номер патента: US20030235984A1. Автор: David Brown,Simon Chan,Eric Paton,Paul Besser. Владелец: Individual. Дата публикации: 2003-12-25.

Method for manufacturing semiconductor device

Номер патента: US20090146302A1. Автор: In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-11.

Method for fabricating semiconductor device using tilted etch process

Номер патента: US20220076959A1. Автор: Huan-Yung Yeh. Владелец: Nanya Technology Corp. Дата публикации: 2022-03-10.

Protection structures for semiconductor devices with sensor arrangements

Номер патента: EP4241309A1. Автор: Sei-Hyung Ryu,Edward Robert Van Brunt. Владелец: Wolfspeed Inc. Дата публикации: 2023-09-13.

Protection structures for semiconductor devices with sensor arrangements

Номер патента: WO2022098421A1. Автор: Sei-Hyung Ryu,Edward Robert Van Brunt. Владелец: Wolfspeed, Inc.. Дата публикации: 2022-05-12.

Manufacturing Method of Semiconductor Device

Номер патента: US20080138995A1. Автор: Mitsuhiro Omura. Владелец: Individual. Дата публикации: 2008-06-12.

Method using silicide contacts for semiconductor processing

Номер патента: WO2004001826A1. Автор: Eric N. Paton,Simon S. Chan,Paul R. Besser,David E. Brown. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2003-12-31.

Pump backstream prevention structure for semiconductor fabrication equipment

Номер патента: US12027392B2. Автор: Tae Wha Kim. Владелец: Individual. Дата публикации: 2024-07-02.

Methods of forming patterns for semiconductor device structures

Номер патента: US20140205952A1. Автор: Yuan He,Scott Light,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2014-07-24.

Manufacturing method of semiconductor device

Номер патента: US20110045615A1. Автор: Mitsuhiro Omura. Владелец: Toshiba Corp. Дата публикации: 2011-02-24.

A method for forming rectangular-shape spacers for semiconductor devices

Номер патента: WO2005069362A1. Автор: Huicai Zhong,Srikanteswara Dakshina-Murthy. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2005-07-28.

Methods of Forming Patterns with Multiple Layers for Semiconductor Devices

Номер патента: US20170125256A1. Автор: Hong-Rae Kim,Jun-Soo Lee,Jeon-Il Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-04.

Methods of forming patterns for semiconductor device structures

Номер патента: US20160048074A1. Автор: Scott L. Light,Yuan He,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2016-02-18.

Bonding wire for semiconductor devices

Номер патента: US20230148306A1. Автор: Tomohiro Uno,Tetsuya Oyamada,Daizo Oda,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2023-05-11.

Manufacturing method for semiconductor device having a T-type gate electrode

Номер патента: US20060009032A1. Автор: So Suzuki. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2006-01-12.

Manufacturing method for semiconductor device

Номер патента: US20220238345A1. Автор: Atsushi Takahashi,Yuya Nagata,Ayata Harayama. Владелец: Kioxia Corp. Дата публикации: 2022-07-28.

Method for making semiconductor device

Номер патента: US20230352347A1. Автор: Guang Yang,Chin-Chun Huang,Wen Yi Tan,Jinjian Ouyang,Linshan Yuan,Yuchun Guo. Владелец: United Semiconductor Xiamen Co Ltd. Дата публикации: 2023-11-02.

Plasma based film modification for semiconductor devices

Номер патента: US20240352575A1. Автор: Timothy J. Miller,Vikram M. Bhosle. Владелец: Applied Materials Inc. Дата публикации: 2024-10-24.

Substrate for semiconductor device and method of manufacturing the same

Номер патента: US09966264B2. Автор: Kohei Nishiguchi. Владелец: Mitsubishi Electric Corp. Дата публикации: 2018-05-08.

Semiconductor device and method for producing a semiconductor device

Номер патента: US09929244B2. Автор: Jochen Hilsenbeck. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-27.

Manufacturing method for semiconductor device

Номер патента: US09552983B2. Автор: Hironobu Hirata,Yoshikazu Moriyama,Masayoshi Yajima. Владелец: Nuflare Technology Inc. Дата публикации: 2017-01-24.

Chemical dielectric formation for semiconductor device fabrication

Номер патента: US09466495B2. Автор: Shao-Jyun Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-11.

Methods of forming patterns for semiconductor device structures

Номер патента: US09465287B2. Автор: Scott L. Light,Yuan He,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2016-10-11.

Method for semiconductor device fabrication

Номер патента: US09461144B2. Автор: Ming-Hsi Yeh,Syun-Ming Jang,Chao-Cheng Chen,Hsin-Yan LU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-04.

Method for semiconductor device fabrication

Номер патента: US09455200B2. Автор: Chun Hsiung Tsai,Jian-An Ke. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-27.

Manufacturing method for semiconductor device

Номер патента: US09437455B2. Автор: Norihiro Togawa. Владелец: Toyota Motor Corp. Дата публикации: 2016-09-06.

Production method for semiconductor wafer

Номер патента: EP1632993A1. Автор: K. c/o Sumco Corporation Asakawa. Владелец: Sumco Corp. Дата публикации: 2006-03-08.

Dielectric structures for semiconductor devices

Номер патента: US11935921B2. Автор: Chih-Hao Wang,Kuan-Lun Cheng,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-19.

Dielectric structures for semiconductor devices

Номер патента: US20220328624A1. Автор: Chih-Hao Wang,Kuan-Lun Cheng,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-13.

Method and apparatus for characterizing a semiconductor device

Номер патента: EP1208591A1. Автор: Gary Gene Putnam,Jennifer Meng-Tsu Cheng,Chin-Yang Sun. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-05-29.

Evaluation method for semiconductor devices

Номер патента: US5850149A. Автор: Yukari Imai,Toshiharu Katayama,Naoko Ohtani. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-12-15.

Test structure for monitoring overetching of silicide during contact opening

Номер патента: US6087189A. Автор: Tiao-Yuan Huang. Владелец: National Science Council. Дата публикации: 2000-07-11.

Design of voltage contrast process monitor

Номер патента: US20240112962A1. Автор: Xiao Wen,David Sanchez,Sairam Subramanian,Amit Paliwal,Dipto THAKURTA. Владелец: Intel Corp. Дата публикации: 2024-04-04.

System and method for process monitoring of polysilicon etch

Номер патента: WO2003032375A2. Автор: Bharath Rangarajan,Bhanwar Singh,Michael K. Templeton. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2003-04-17.

Normal-incidence in-situ process monitor sensor

Номер патента: US20240222100A1. Автор: Shan Hu,Scott LEFEVRE,Peter Delia. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-04.

Edge termination structures for semiconductor devices

Номер патента: EP4218057A1. Автор: Edward Robert Van Brunt,III Thomas E. HARRINGTON. Владелец: Wolfspeed Inc. Дата публикации: 2023-08-02.

Spacer structures for semiconductor devices

Номер патента: US20230029651A1. Автор: Yi-Chen Lo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-02-02.

Capacitively-coupled field-plate structures for semiconductor devices

Номер патента: US09887268B2. Автор: Bin Lu,Ling Xia. Владелец: Cambridge Electronics Inc. Дата публикации: 2018-02-06.

Gate Structures For Semiconductor Devices

Номер патента: US20240290836A1. Автор: Chan-Lon Yang,Perng-Fei Yuh,Keh-Jeng Chang,Chansyun David Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

Field-plate structures for semiconductor devices

Номер патента: US09911817B2. Автор: Bin Lu,Mohamed AZIZE,Ling Xia. Владелец: Cambridge Electronics Inc. Дата публикации: 2018-03-06.

Semiconductor device and manufacturing method for semiconductor device

Номер патента: US11961921B2. Автор: Hiroshi Shibata. Владелец: Lapis Semiconductor Co Ltd. Дата публикации: 2024-04-16.

Capacitor structures for semiconductor device

Номер патента: US09793338B2. Автор: Peng Yang,Tsui Ping Chu,Evie Siaw Hei Kho,Yong Kheng Ang,Swee Hua Tia. Владелец: X Fab Semiconductor Foundries GmbH. Дата публикации: 2017-10-17.

Semiconductor Device and Manufacturing Method for Semiconductor Device

Номер патента: US20240234359A9. Автор: Takayuki Oshima,Osamu Ikeda,Naoki Sakurai,Takuma Hakuto. Владелец: Hitachi Astemo Ltd. Дата публикации: 2024-07-11.

Semiconductor device and semiconductor assembly apparatus for semiconductor device

Номер патента: US6841417B2. Автор: Masao Jojiki. Владелец: Asahi Kogaku Kogyo Co Ltd. Дата публикации: 2005-01-11.

Passivation Layers For Semiconductor Devices

Номер патента: US20240243184A1. Автор: Ching-Hua Lee,Cheng-Yi Peng,Song-Bor Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-18.

Gate structures for semiconductor devices

Номер патента: US12057478B2. Автор: Chung-Liang Cheng,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Semiconductor device and semiconductor assembly apparatus for semiconductor device

Номер патента: US20020089033A1. Автор: Masao Jojiki. Владелец: Individual. Дата публикации: 2002-07-11.

Semiconductor device and semiconductor assembly apparatus for semiconductor device

Номер патента: US20010042911A1. Автор: Masao Jojiki. Владелец: Individual. Дата публикации: 2001-11-22.

Semiconductor device and semiconductor assembly apparatus for semiconductor device

Номер патента: US20030001257A1. Автор: Masao Jojiki. Владелец: Individual. Дата публикации: 2003-01-02.

Systems and methods for semiconductor devices

Номер патента: US20150155355A1. Автор: Peter Almern Losee,Alexander Viktorovich Bolotnikov. Владелец: General Electric Co. Дата публикации: 2015-06-04.

Gate Structures For Semiconductor Devices

Номер патента: US20240332359A1. Автор: Chung-Liang Cheng,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-03.

Crystal, semiconductor element and semiconductor device

Номер патента: US20210217869A1. Автор: Yuji Kato,Osamu Imafuji,Ryohei KANNO,Kazuyoshi NORIMATSU. Владелец: Flosfia Inc. Дата публикации: 2021-07-15.

Semiconductor devices having encapsulated stressor regions and related fabrication methods

Номер патента: US20120193686A1. Автор: Jan Hoentschel,Stefan Flachowsky. Владелец: Globalfoundries Inc. Дата публикации: 2012-08-02.

Terminal connection structure for semiconductor device

Номер патента: US7633166B2. Автор: Hiroyuki Onishi,Jun Ishikawa,Toshiaki Nagase. Владелец: Toyota Industries Corp. Дата публикации: 2009-12-15.

Gate fringing effect based channel formation for semiconductor device

Номер патента: US09570458B2. Автор: Ya-Fen Lin,YouSeok Suh,Yi-Ching Jean Wu,Sung-Yong Chung. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-02-14.

Normal-incident in-situ process monitor sensor

Номер патента: US20200043710A1. Автор: Ching Ling Meng,Qiang Zhao,Hanyou Chu,Holger Tuitje,Xinkang Tian. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-02-06.

Push-pull configurations for semiconductor device having a pn-junction with a photosensitive region

Номер патента: US20020130381A1. Автор: Larry Tichauer. Владелец: Individual. Дата публикации: 2002-09-19.

Semiconductor devices having stressor regions and related fabrication methods

Номер патента: US20110303954A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2011-12-15.

Via etch method for back contact multijunction solar cells

Номер патента: US10090420B2. Автор: Lan Zhang,Sathya Chary,Ewelina Lucow,Ferran Suarez. Владелец: Solar Junction Corp. Дата публикации: 2018-10-02.

Semiconductor device and control method for semiconductor device

Номер патента: US10354715B2. Автор: Makoto Suwada. Владелец: Fujitsu Ltd. Дата публикации: 2019-07-16.

Architectures Enabling Back Contact Bottom Electrodes For Semiconductor Devices

Номер патента: US20190074393A1. Автор: Venkat Selvamanickam. Владелец: UNIVERSITY OF HOUSTON SYSTEM. Дата публикации: 2019-03-07.

Semiconductor device, display device and manufacturing method for semiconductor device

Номер патента: US20180097019A1. Автор: Masakazu Gunji. Владелец: Japan Display Inc. Дата публикации: 2018-04-05.

Edge seals for semiconductor devices

Номер патента: US20230361139A1. Автор: Jeffrey Peter Gambino,Swarnal Borthakur. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2023-11-09.

Method of manufacturing super junction for semiconductor device

Номер патента: US09406745B2. Автор: Mei-Ling Chen,Lung-ching Kao,Kuo-Liang CHAO,Paul Chung-Chen CHANG. Владелец: Pfc Device Holdings Ltd. Дата публикации: 2016-08-02.

Capacitor for semiconductor device and method for fabricating the same

Номер патента: US20090127655A1. Автор: Seung-Min Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-05-21.

Solder pad for semiconductor device package

Номер патента: US09548280B2. Автор: Scott M. Hayes,Zhiwei Gong,Vijay Sarihan. Владелец: NXP USA Inc. Дата публикации: 2017-01-17.

Test method for semiconductor device having stacked plural semiconductor chips

Номер патента: US09465068B2. Автор: Hiroaki Ikeda. Владелец: Elpida Memory Inc. Дата публикации: 2016-10-11.

Normal-incidence in-situ process monitor sensor

Номер патента: US11961721B2. Автор: Ching Ling Meng,Qiang Zhao,Hanyou Chu,Holger Tuitje,Xinkang Tian. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-04-16.

Production method for semiconductor device

Номер патента: US20070224800A1. Автор: Kei Miyoshi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2007-09-27.

Electrostatic-breakdown-preventive and protective circuit for semiconductor-device

Номер патента: US20030222673A1. Автор: Katsuhiro Kato. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2003-12-04.

Semiconductor device, manufacturing method for semiconductor device, and ferroelectric layer

Номер патента: US10096619B2. Автор: Shosuke Fujii,Seiji Inumiya,Tsunehiro Ino. Владелец: Toshiba Memory Corp. Дата публикации: 2018-10-09.

Jet impingement heatsink for high power semiconductor devices

Номер патента: US20240274506A1. Автор: Oseob Jeon,Changsun YUN. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-08-15.

Ag alloy bonding wire for semiconductor device

Номер патента: EP4234734A1. Автор: Tomohiro Uno,Tetsuya Oyamada,Daizo Oda,Noritoshi Araki,Takumi Ookabe. Владелец: Nippon Micrometal Corp. Дата публикации: 2023-08-30.

Method of fabricating capacitor for semiconductor device

Номер патента: US6190993B1. Автор: Byung Jae Choi,Soo Jin Seo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-02-20.

Semiconductor device and manufacturing method for semiconductor device

Номер патента: US20100059800A1. Автор: Hisao Kawasaki. Владелец: Toshiba Corp. Дата публикации: 2010-03-11.

Jet impingement heatsink for high power semiconductor devices

Номер патента: WO2024172878A1. Автор: Oseob Jeon,Changsun YUN. Владелец: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC. Дата публикации: 2024-08-22.

Ai bonding wire for semiconductor devices

Номер патента: US20240312946A1. Автор: Tomohiro Uno,Tetsuya Oyamada,Daizo Oda,Ryo Oishi,Yuto KURIHARA,Yuya SUTO. Владелец: Nippon Micrometal Corp. Дата публикации: 2024-09-19.

Etching techniques for semiconductor devices

Номер патента: US09768327B2. Автор: Robert Woehl,David Aaron Randolph BARKHOUSE,Paul LOSCUTOFF. Владелец: SunPower Corp. Дата публикации: 2017-09-19.

Resin composition, resin sheet, and production method for semiconductor device

Номер патента: US09738763B2. Автор: Kazuyuki Matsumura,Toshihisa Nonaka,Yoichi Shimba. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2017-08-22.

Film for semiconductor and semiconductor device manufacturing method

Номер патента: SG177573A1. Автор: Hiroyuki Yasuda,Takashi Hirano. Владелец: Sumitomo Bakelite Co. Дата публикации: 2012-02-28.

Metal terminal edge for semiconductor structure and method of forming the same

Номер патента: US20210091177A1. Автор: Ruigang Li,Zheng Zuo,Da Teng. Владелец: AZ Power Inc. Дата публикации: 2021-03-25.

Apparatuses and methods for semiconductor circuit layout

Номер патента: US20180175017A1. Автор: Takashi Ishihara,Yasuhiko Tanuma. Владелец: Micron Technology Inc. Дата публикации: 2018-06-21.

Apparatuses and methods for semiconductor circuit layout

Номер патента: US20190348493A1. Автор: Takashi Ishihara,Yasuhiko Tanuma. Владелец: Micron Technology Inc. Дата публикации: 2019-11-14.

Apparatuses and methods for semiconductor circuit layout

Номер патента: US09929135B2. Автор: Takashi Ishihara,Yasuhiko Tanuma. Владелец: Micron Technology Inc. Дата публикации: 2018-03-27.

Semiconductor devices for improved measurements and related methods

Номер патента: WO2022125495A1. Автор: James Richmond,Edward Robert Van Brunt. Владелец: Wolfspeed, Inc.. Дата публикации: 2022-06-16.

Semiconductor devices for improved measurements and related methods

Номер патента: EP4260362A1. Автор: James Richmond,Edward Robert Van Brunt. Владелец: Wolfspeed Inc. Дата публикации: 2023-10-18.

Isolation structure for bond pad structure

Номер патента: US20240290740A1. Автор: Tzu-Hsuan Hsu,Jeng-Shyan Lin,Shih-Pei Chou,Sin-Yao Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

Process monitor for monitoring and compensating circuit performance

Номер патента: US7821280B2. Автор: James Y. C. Chang,Leonard Dauphinee,Lawrence M. Burns,Ramon A. Gomez. Владелец: Broadcom Corp. Дата публикации: 2010-10-26.

Production of stamps, masks or templates for semiconductor device manufacturing

Номер патента: US20100129735A1. Автор: Jelm Franse. Владелец: Singulus Mastering BV. Дата публикации: 2010-05-27.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Jet impingement cooling with bypass fluid portion for high power semiconductor devices

Номер патента: US20240047304A1. Автор: John MOOKKEN. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-02-08.

Ball-type bonding wires for semiconductor devices and method for producing same

Номер патента: GB2174032A. Автор: Noriko Watanabe,Kazumichi Machida,Jitsuho Hirota. Владелец: Mitsubishi Electric Corp. Дата публикации: 1986-10-29.

Package for semiconductor device

Номер патента: US20060131711A1. Автор: Yoshihiko Ino. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2006-06-22.

Bonding wire for semiconductor device

Номер патента: EP4361301A1. Автор: Takashi Yamada,Daizo Oda,Teruo Haibara,Ryo Oishi,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2024-05-01.

Semiconductor device including pixels, microlenses, and a monitoring structure, and a method of manufacturing the same

Номер патента: US9276028B2. Автор: Mitsuhiro Yomori. Владелец: Canon Inc. Дата публикации: 2016-03-01.

Inductor for semiconductor device and method for fabricating the same

Номер патента: US20100164060A1. Автор: Ji-Houn Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-07-01.

Method of fabricating self aligned schottky junctions for semiconductors devices

Номер патента: EP1958244A1. Автор: Markus Müller. Владелец: NXP BV. Дата публикации: 2008-08-20.

Bonding wire for semiconductor devices

Номер патента: US20240290745A1. Автор: Takashi Yamada,Daizo Oda,Teruo Haibara,Ryo Oishi,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2024-08-29.

Substrate for semiconductor device

Номер патента: EP3855484A1. Автор: Yuji Umeda. Владелец: NGK Electronics Devices Inc. Дата публикации: 2021-07-28.

Bonding wire for semiconductor devices

Номер патента: US20240290743A1. Автор: Takashi Yamada,Daizo Oda,Teruo Haibara,Ryo Oishi,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2024-08-29.

Bonding wire for semiconductor devices

Номер патента: US20240290744A1. Автор: Takashi Yamada,Daizo Oda,Teruo Haibara,Ryo Oishi,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2024-08-29.

Method of fabricating semiconductor device

Номер патента: US20040152339A1. Автор: Masayuki Imai,Yoshihide Tada,Tsukasa Yonekawa,Shin Yokoyama,Genji Nakamura,Anri Nakajima. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-08-05.

Semiconductor device manufacturing methods

Номер патента: US20080305623A1. Автор: Haoren Zhuang,Helen Wang,Scott D. Halle,Len Yuan Tsou. Владелец: International Business Machines Corp. Дата публикации: 2008-12-11.

Bonding wire for semiconductor devices

Номер патента: US20240297142A1. Автор: Takashi Yamada,Daizo Oda,Teruo Haibara,Ryo Oishi,Motoki Eto. Владелец: Nippon Micrometal Corp. Дата публикации: 2024-09-05.

Method of forming metal lines and bumps for semiconductor devices

Номер патента: US20080076248A1. Автор: Dong-Hyeon Jang,Soon-bum Kim,Sung-min Sim,Jae-Sik Chung,Se-Yong Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-03-27.

Method of manufacturing bonding wire for semiconductor device

Номер патента: GB9509683D0. Автор: . Владелец: Tanaka Denshi Kogyo KK. Дата публикации: 1995-07-05.

Method of manufacturing bonding wire for semiconductor device

Номер патента: SG64376A1. Автор: Ichiro Nagamatsu,Hiroto Iga,Keiko Itabashi,Taeko Tobiyama. Владелец: Tanaka Electronics Ind. Дата публикации: 1999-04-27.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09978894B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2018-05-22.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09608145B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2017-03-28.

Bonding wire for semiconductor device use and method of production of same

Номер патента: US09536854B2. Автор: Takashi Yamada,Tomohiro Uno,Daizo Oda,Teruo Haibara,Ryo Oishi. Владелец: Nippon Micrometal Corp. Дата публикации: 2017-01-03.

Process for producing a semiconductor device

Номер патента: US20010008806A1. Автор: Hideo Kitagawa. Владелец: Individual. Дата публикации: 2001-07-19.

Test apparatuses for semiconductor devices

Номер патента: US20220146571A1. Автор: Jae Hong Kim,Hyung Il Kim,Se-Hyun Seo,Byeong Min YU,Sang Jae Rhee,Young Chyel LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-05-12.

Test apparatuses for semiconductor devices

Номер патента: US11604220B2. Автор: Jae Hong Kim,Hyung Il Kim,Se-Hyun Seo,Byeong Min YU,Sang Jae Rhee,Young Chyel LEE. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-03-14.

Passivation equipment and passivation method for semiconductor device

Номер патента: US20240162003A1. Автор: Chih-Hung Chen,Chi-Wen Chen,Chun-Huai Li,Chun-Hung Hung. Владелец: Naidun Tech Co Ltd. Дата публикации: 2024-05-16.

Defect review method and device for semiconductor device

Номер патента: US20080290274A1. Автор: Toshifumi Honda. Владелец: Hitachi High Technologies Corp. Дата публикации: 2008-11-27.

Process monitor based keeper scheme for dynamic circuits

Номер патента: US20040051562A1. Автор: Claude Gauthier,Shaishav Desai. Владелец: Sun Microsystems Inc. Дата публикации: 2004-03-18.

Manufacturing method for semiconductor device, and semiconductor device

Номер патента: EP4203000A1. Автор: Jie Bai,Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-28.

Impedance calibration device for semiconductor device

Номер патента: US09998123B2. Автор: Hae Kang Jung,Yong Bin KIM. Владелец: SK hynix Inc. Дата публикации: 2018-06-12.

Cooling device for semiconductor device

Номер патента: US20240188253A1. Автор: Hideko Mukaida,Tomoya Sanuki,Yasuhito Yoshimizu,Yusuke Higashi. Владелец: Kioxia Corp. Дата публикации: 2024-06-06.

Method and System to Reduce Electromagnetic Radiation for Semiconductor Devices

Номер патента: US20100244928A1. Автор: Jim D. Childers,Kevin P. LAVERY,Praven P. Patel. Владелец: Texas Instruments Inc. Дата публикации: 2010-09-30.

Module circuit board for semiconductor device having barriers to isolate I/O terminals from solder

Номер патента: US20020046881A1. Автор: Norio Takahashi. Владелец: Individual. Дата публикации: 2002-04-25.

Module circuit board for semiconductor device having barriers to isolate I/O terminals from solder

Номер патента: US6498306B2. Автор: Norio Takahashi. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-12-24.

Automatic test equipment for semiconductor device

Номер патента: EP1260822B1. Автор: Ronald A. Sartschev,Gerald F. Muething, Jr.. Владелец: Teradyne Inc. Дата публикации: 2005-06-08.

Wireless industrial process monitor

Номер патента: US11927487B2. Автор: Theodore Henry Schnaare. Владелец: Rosemount Inc. Дата публикации: 2024-03-12.

Method of manufacturing a semiconductor device

Номер патента: US6955870B2. Автор: Hideo Miura,Hideyuki Aoki,Masatoshi Kanamaru,Ryuji Kohno,Hiroya Shimizu. Владелец: HITACHI LTD. Дата публикации: 2005-10-18.

Bar for a support structure for a false ceiling and production process for producing the bar

Номер патента: US09376811B2. Автор: Giuseppe Cipriani. Владелец: Individual. Дата публикации: 2016-06-28.

Process for testing a semiconductor device

Номер патента: US6433571B1. Автор: Thomas T. Montoya. Владелец: Motorola Inc. Дата публикации: 2002-08-13.

STRUCTURE FOR A QUANTUM DOT BARRIER RIB AND PROCESS FOR PREPARING THE SAME

Номер патента: US20200371436A1. Автор: Lee Kyu Cheol,Kim Seung-Keun,Song Seung-Kyu,Jeon Hyung-Tak,Park Kyung-Jae. Владелец: . Дата публикации: 2020-11-26.

Porous ceramic structure for part made of CMC material and process for obtaining it

Номер патента: FR3098514A1. Автор: Benjamin Lacombe,Gildas Garnier. Владелец: Safran Ceramics SA. Дата публикации: 2021-01-15.

Test structures and testing methods for semiconductor devices

Номер патента: US09891273B2. Автор: Wensen Hung,Yung-Hsin Kuo,Po-Shi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-13.

Voltage-driven intelligent characterization bench for semiconductor

Номер патента: US09772371B2. Автор: Ping-Chuan Wang,Charles J. Montrose. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-26.

Semiconductor system performing status read for semiconductor device and operating method thereof

Номер патента: US09535607B2. Автор: Se Chun Park,Ho Jung YUN. Владелец: SK hynix Inc. Дата публикации: 2017-01-03.

System and process for monitoring the production of synthetic fuel

Номер патента: EP1668097A2. Автор: Richard C. Holmes. Владелец: Individual. Дата публикации: 2006-06-14.

System and process for monitoring the production of synthetic fuel

Номер патента: EP1668097A4. Автор: Richard C Holmes. Владелец: Individual. Дата публикации: 2008-08-20.

System and process for monitoring the production of synthetic fuel

Номер патента: EP1668097B1. Автор: Richard C. Holmes. Владелец: Individual. Дата публикации: 2010-04-28.

System and process for monitoring the production of synthetic fuel

Номер патента: WO2005021687A2. Автор: Richard C. Holmes. Владелец: Holmes Richard C. Дата публикации: 2005-03-10.

Supply voltage distribution system with reduced resistance for semiconductor devices

Номер патента: US20120081987A1. Автор: Donghyun Seo,Jaeyong Cha. Владелец: Individual. Дата публикации: 2012-04-05.

Semiconductor device and inspection method for semiconductor device

Номер патента: US20240230751A9. Автор: Yoshiaki Tanaka,Kouji Nakajima. Владелец: Renesas Electronics Corp. Дата публикации: 2024-07-11.

System and process for real-time input harmonics monitoring

Номер патента: WO2024107809A1. Автор: Joshua Hoffman,Mohammed Shamsul Arefeen. Владелец: H&M Strategic Alliance, LLC. Дата публикации: 2024-05-23.

Test board for semiconductor devices

Номер патента: US20240094283A1. Автор: Ho Nam KIM,Taek Seon LEE. Владелец: Ateco Inc. Дата публикации: 2024-03-21.

Semiconductor device and inspection method for semiconductor device

Номер патента: US20240133944A1. Автор: Yoshiaki Tanaka,Kouji Nakajima. Владелец: Renesas Electronics Corp. Дата публикации: 2024-04-25.

Data linkage system and processing monitoring system

Номер патента: US20210271575A1. Автор: Koki Nakajima. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2021-09-02.

Controller and process monitoring method including monitoring of process execution sequence

Номер патента: US09690269B2. Автор: Makoto HIGASHI. Владелец: AutoNetworks Technologies Ltd. Дата публикации: 2017-06-27.

System and method for process monitoring

Номер патента: EP2097794A2. Автор: Sanjay Mehta,Ali Esmaili,Debashis Neogi,Carlos A. Valenzuela. Владелец: Air Products and Chemicals Inc. Дата публикации: 2009-09-09.

Simulation device for semiconductor device, and short-circuit determination method for semiconductor device

Номер патента: US20170068757A1. Автор: Ryota NIHEI. Владелец: Toshiba Corp. Дата публикации: 2017-03-09.

Production process monitoring system and control method therefor

Номер патента: US09798304B2. Автор: Shun Jie Fan,Xian Tao Meng. Владелец: SIEMENS AG. Дата публикации: 2017-10-24.

Semiconductor Device Simulation Platform

Номер патента: US20230394210A1. Автор: Zhiqiang Wu,Nuo XU,Zhengping Jiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-07.

Semiconductor device, electronic device, and self-diagnosis method for semiconductor device

Номер патента: US09797950B2. Автор: Takuro NISHIKAWA. Владелец: Renesas Electronics Corp. Дата публикации: 2017-10-24.

Usage of redundancy data for displaying failure bit maps for semiconductor devices

Номер патента: EP1242999A1. Автор: Michael Barnhard Sommer. Владелец: Infineon Technologies Richmond LP. Дата публикации: 2002-09-25.

Method for preparing test samples for semiconductor devices

Номер патента: US20210348989A1. Автор: SHENG Chen,Qiang Chen,Jinde Gao. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2021-11-11.

Method for preparing test samples for semiconductor devices

Номер патента: US20210348990A1. Автор: Qiang Chen,Yanrong Qiu,Jinde Gao. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2021-11-11.

Graph-driven production process monitoring

Номер патента: WO2023003545A1. Автор: Lingyun Wang,Arquimedes CANEDO. Владелец: SIEMENS AKTIENGESELLSCHAFT. Дата публикации: 2023-01-26.

Controller and process monitoring method

Номер патента: US20150134083A1. Автор: Makoto HIGASHI. Владелец: AutoNetworks Technologies Ltd. Дата публикации: 2015-05-14.

Semiconductor device, control method for semiconductor device and control program

Номер патента: US20240296901A1. Автор: Takuro NISHIKAWA. Владелец: Renesas Electronics Corp. Дата публикации: 2024-09-05.

Process monitoring for high-speed joining

Номер патента: US09731340B2. Автор: Torsten Draht,Adnan Kolac. Владелец: Boellhoff Verbindungstechnik GmbH. Дата публикации: 2017-08-15.

Process monitor for a weld controller

Номер патента: WO1999042241A9. Автор: Paul R Buda,Jonathan H Bailey,Larry A Dew,Robert M Slazinski. Владелец: Square D Co. Дата публикации: 1999-11-11.

Process monitor for a weld controller

Номер патента: WO1999042241A3. Автор: Paul R Buda,Jonathan H Bailey,Larry A Dew,Robert M Slazinski. Владелец: Square D Co. Дата публикации: 2008-04-10.

Automated image-based process monitoring and control

Номер патента: US09569834B2. Автор: Mohan Mahadevan,Tommaso Torelli,Shabnam Ghadar,Himanshu Vajaria,Bradley Ries,Stilian PANDEV. Владелец: KLA Tencor Corp. Дата публикации: 2017-02-14.

Process monitor and method thereof

Номер патента: US4611919A. Автор: Edward A. Brooks, Jr.,Roger M. Bithell. Владелец: CollabRx Inc. Дата публикации: 1986-09-16.

Process monitoring in a computer system

Номер патента: GB2342472A. Автор: Roger S Brown,Karen C Roles,Simon G Applebaum. Владелец: Sun Microsystems Inc. Дата публикации: 2000-04-12.

Method and system for derived layer checking for semiconductor device design

Номер патента: US20140040839A1. Автор: Edward O. Travis,Mehul D. Shroff,Douglas M. Reber. Владелец: Individual. Дата публикации: 2014-02-06.

Metrology technique for semiconductor devices

Номер патента: US20240271926A1. Автор: Gilad Barak,Dror Shafir,Smadar Ferber,Jacob Ofek,Zvi Gorohovsky,Daphna Peimer,Tal Heilpern,Dana Szafranek. Владелец: Nova Ltd. Дата публикации: 2024-08-15.

Process monitor for a weld controller

Номер патента: EP1003620A1. Автор: Larry A. Dew,Paul R. Buda,Robert M. Slazinski,Jonathan H. Bailey. Владелец: Square D Co. Дата публикации: 2000-05-31.

Method of manufacturing semiconductor device

Номер патента: US20080057441A1. Автор: Sung-ho Jun. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-03-06.

Process monitoring system, apparatus and method

Номер патента: US09921575B2. Автор: Satoshi Kobayashi,Mihoko Tanaka,Kimikazu Takahashi. Владелец: Yokogawa Electric Corp. Дата публикации: 2018-03-20.

Process monitor

Номер патента: GB2281625A. Автор: David Ian Bartlett,Roger Charles Balls,Richard Weaver. Владелец: Minister of Agriculture Fisheries and Food UK. Дата публикации: 1995-03-08.

System and methods for semiconductor burn-in test

Номер патента: EP3465238A1. Автор: Ballson Gopal,Jessie KILLION. Владелец: Kes Systems Inc. Дата публикации: 2019-04-10.

Deep reactive ion etching process for fluid ejection heads

Номер патента: US11746005B2. Автор: David L. Bernard. Владелец: Funai Electric Co Ltd. Дата публикации: 2023-09-05.

System and process for monitoring a patient

Номер патента: US20230260643A1. Автор: Gotz Kullik,Birger LANDWEHR,Hinrich ALTHOFF. Владелец: Draegerwerk AG and Co KGaA. Дата публикации: 2023-08-17.

Method and system for process monitoring the treatment of media

Номер патента: US12041953B2. Автор: Claudia Siemer,Stefan Toepfl,Julian Witt. Владелец: Elea Service GmbH. Дата публикации: 2024-07-23.

Process monitoring system and method

Номер патента: US09646111B2. Автор: Satoshi Kobayashi. Владелец: Yokogawa Electric Corp. Дата публикации: 2017-05-09.

System and process for monitoring the production of synthetic fuel

Номер патента: CA2537147C. Автор: Richard C. Holmes. Владелец: Individual. Дата публикации: 2010-06-01.

Equipment process monitoring system with automatic configuration of control limits and alert zones

Номер патента: US20190033824A1. Автор: Rita Chattopadhyay,Petek YONTAY. Владелец: Intel Corp. Дата публикации: 2019-01-31.

Socket for semiconductor device

Номер патента: MY144692A. Автор: Hideki Sato,Kazumi Uratsuji. Владелец: Yamaichi Electronics Co Ltd. Дата публикации: 2011-10-31.

Design method for semiconductor integrated circuit device

Номер патента: US6785876B2. Автор: Kazuyoshi Takemura. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2004-08-31.

Process Monitoring System

Номер патента: US20240045393A1. Автор: Stefan Schweiger. Владелец: SICK AG. Дата публикации: 2024-02-08.

System and process for real-time input harmonics monitoring

Номер патента: US20240168067A1. Автор: Joshua Hoffman,Mohammed Shamsul Arefeen. Владелец: H&m Strategic Alliance LLC. Дата публикации: 2024-05-23.

Manufacturing process for heavy-power semiconductor devices

Номер патента: RU2022399C1. Автор: Игорь Николаевич Клопов. Владелец: Игорь Николаевич Клопов. Дата публикации: 1994-10-30.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Package and Method of Forming Similar Structure for Top and Bottom Bonding Pads

Номер патента: US20120001326A1. Автор: . Владелец: STATS CHIPPAC, LTD.. Дата публикации: 2012-01-05.

Semiconductor Device Comprising Through Hole Vias Having a Stress Relaxation Mechanism

Номер патента: US20120001330A1. Автор: Huisinga Torsten,Grillberger Michael,Hahn Jens. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

Process for preparing a semiconductor device

Номер патента: CA679856A. Автор: Strull Gene. Владелец: Westinghouse Electric Corp. Дата публикации: 1964-02-11.

Process for packaging multilead semiconductor devices and resulting product

Номер патента: AU418267B2. Автор: HAROLD DEAN TOOMBS and JAMES HENRI VANTASSELL JACK ST. CLAIR KILBY. Владелец: . Дата публикации: 1960-01-09.

Process for packaging multilead semiconductor devices and resulting product

Номер патента: AU2422467A. Автор: HAROLD DEAN TOOMBS and JAMES HENRI VANTASSELL JACK ST. CLAIR KILBY. Владелец: . Дата публикации: 1960-01-09.

Structure for detecting short circuit of through holes or contact holes in semiconductor device

Номер патента: CN101750563B. Автор: 董科. Владелец: Shanghai Hua Hong NEC Electronics Co Ltd. Дата публикации: 2012-02-15.

Structure for detecting short circuit of through holes or contact holes in semiconductor device

Номер патента: CN101750563A. Автор: 董科. Владелец: Shanghai Hua Hong NEC Electronics Co Ltd. Дата публикации: 2010-06-23.

Process for fabrication of semiconductor device and semiconductor devices

Номер патента: CN105097466B. Автор: 刘焕新. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-01-08.

METHOD FOR GROWING CRYSTALS OF NITRIDE SEMICONDUCTOR, AND PROCESS FOR MANUFACTURE OF SEMICONDUCTOR DEVICE

Номер патента: US20120021549A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-26.

Process for production of semiconductor device

Номер патента: JPS5245884A. Автор: Takahide Ikeda. Владелец: HITACHI LTD. Дата публикации: 1977-04-11.

Process for production of semiconductor device

Номер патента: JPS5247378A. Автор: Reiji Takashina,Soichiro Yamashita. Владелец: Nippon Electric Co Ltd. Дата публикации: 1977-04-15.

Process for production of semiconductor device

Номер патента: JPS5250183A. Автор: Shohei Shima,Iwao Higashinakagaha. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1977-04-21.

Process for production of semiconductor device

Номер патента: JPS5237781A. Автор: Yoshiyuki Takagi. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1977-03-23.

Process for production of semiconductor device

Номер патента: JPS5240978A. Автор: Satoshi Suda,Shuji Endo,Kenji Sugishima. Владелец: Fujitsu Ltd. Дата публикации: 1977-03-30.

Process for producing of semiconductor device

Номер патента: JPS5242073A. Автор: Yoshiro Kutsuzawa. Владелец: Sanken Electric Co Ltd. Дата публикации: 1977-04-01.

Process for production of semiconductor device

Номер патента: JPS5239385A. Автор: Shinichi Miyashita. Владелец: Fujitsu Ltd. Дата публикации: 1977-03-26.

Process for production of semiconductor device

Номер патента: JPS5248467A. Автор: Akihiro Shibatomi,Kenya Nakai. Владелец: Fujitsu Ltd. Дата публикации: 1977-04-18.

Process for production of semiconductor device

Номер патента: JPS5245267A. Автор: Masao Kachi,Kuniyoshi Fukuda. Владелец: NEC Home Electronics Ltd. Дата публикации: 1977-04-09.

Process for production of semiconductor device

Номер патента: JPS5249782A. Автор: Toshishige Ando. Владелец: Fujitsu Ltd. Дата публикации: 1977-04-21.

Process for production of semiconductor device

Номер патента: JPS5236975A. Автор: Makoto Ito,Hideo Sei. Владелец: Fujitsu Ltd. Дата публикации: 1977-03-22.

Process for production of semiconductor device

Номер патента: JPS5244169A. Автор: Hiroshi Yokoyama. Владелец: HITACHI LTD. Дата публикации: 1977-04-06.

Process for production of semiconductor device

Номер патента: JPS5248477A. Автор: Hiromitsu Takeuchi. Владелец: Fujitsu Ltd. Дата публикации: 1977-04-18.

Process for production of semiconductor device

Номер патента: JPS5248474A. Автор: Tsutomu Fujita,Tadanaka Yoneda. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1977-04-18.

Semiconductor Device Including Ultra Low-K (ULK) Metallization Stacks with Reduced Chip-Package Interaction

Номер патента: US20120001323A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Antireflective Coatings for Via Fill and Photolithography Applications and Methods of Preparation Thereof

Номер патента: US20120001135A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE HAVING REDUCED SUB-THRESHOLD LEAKAGE

Номер патента: US20120003810A1. Автор: . Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001310A1. Автор: Horiki Hiroshi,NISHINO MASANORI. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001311A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001312A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001315A1. Автор: Kikuchi Hiroshi,MOCHIZUKI Chihiro,SHIMA Yasuo,KOBAYASHI Yoichiro. Владелец: . Дата публикации: 2012-01-05.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME

Номер патента: US20120001260A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE MANUFACTURE METHOD AND SEMICONDUCTOR DEVICE

Номер патента: US20120001344A1. Автор: IDANI Naoki,TAKESAKO Satoshi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Constant temperature chamber in a handler for semiconductor device testing apparatus

Номер патента: MY112337A. Автор: Fukumoto Keiichi. Владелец: Advantest Corp. Дата публикации: 2001-05-31.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001335A1. Автор: ENDO Yuta,TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

OXYGEN PLASMA CONVERSION PROCESS FOR PREPARING A SURFACE FOR BONDING

Номер патента: US20120003813A1. Автор: Usenko Alex,Chuang Ta Ko. Владелец: . Дата публикации: 2012-01-05.

Gold alloy thin wire for semiconductor devices

Номер патента: MY119289A. Автор: Kohei Tatsumi,Tomohiro Uno. Владелец: Nippon Steel Corp. Дата публикации: 2005-04-30.