Process monitoring for gate cut mask

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for metal gate cut and structure thereof

Номер патента: US20220336220A1. Автор: Kuan-Lun Cheng,Ching-Wei Tsai,Zhi-Chang Lin,Pei-Yu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-20.

Using sacrificial oxide layer for gate length tuning and resulting device

Номер патента: US9147572B2. Автор: Haiting Wang,Yong Meng Lee,Ashish Kumar JHA,Meng Luo. Владелец: Globalfoundries Inc. Дата публикации: 2015-09-29.

Self-aligned gate cut method and multilayer gate-cut pillar structure

Номер патента: US10629701B1. Автор: Hui Zang,Ruilong Xie,Youngtag Woo. Владелец: Globalfoundries Inc. Дата публикации: 2020-04-21.

Gate cut structures formed before dummy gate

Номер патента: EP4239666A1. Автор: Tahir Ghani,Allen GARDINER,Leonard GULER,Sairam Subramanian,Madeleine Beasley,Aryan SHIRAZI. Владелец: Intel Corp. Дата публикации: 2023-09-06.

Methods, apparatus and system for a self-aligned gate cut on a semiconductor device

Номер патента: US20200185509A1. Автор: Hui Zang,Laertis Economikos,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-11.

Methods, apparatus and system for a self-aligned gate cut on a semiconductor device

Номер патента: US20190319112A1. Автор: Hui Zang,Laertis Economikos,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2019-10-17.

Self-aligned gate cut method and multilayer gate-cut pillar structure

Номер патента: US20200119163A1. Автор: Hui Zang,Ruilong Xie,Youngtag Woo. Владелец: Globalfoundries Inc. Дата публикации: 2020-04-16.

Metal gate cut formed after source and drain contacts

Номер патента: US20240112916A1. Автор: Matthew J. Prince,Andrew Arnold,Reza Bayati,Alison V. DAVIS,Swapnadip Ghosh,Chun C. Kuo. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Gate cut structures formed before dummy gate

Номер патента: US20230282483A1. Автор: Tahir Ghani,Allen B. Gardiner,Leonard P. GULER,Sairam Subramanian,Madeleine Beasley,Aryan Navabi Shirazi. Владелец: Intel Corp. Дата публикации: 2023-09-07.

Metal gate cut formed after source and drain contacts

Номер патента: EP4345869A1. Автор: Matthew Prince,Andrew Arnold,Alison Davis,Chun Kuo,Reza Bayati,Swapnadip Ghosh. Владелец: Intel Corp. Дата публикации: 2024-04-03.

Semiconductor device with gate cut structure

Номер патента: US20210074842A1. Автор: Jiehui SHU. Владелец: GlobalFoundries US Inc. Дата публикации: 2021-03-11.

Integrated circuits with gate cut features

Номер патента: US11848326B2. Автор: Chih-Hao Wang,Wei-Hao Wu,Jia-Ni YU,Kuo-Cheng Ching,Zhi-Chang Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-19.

High aspect ratio metal gate cuts

Номер патента: US20240105453A1. Автор: Matthew J. Prince,Manish Sharma,Oleg Golonzka,Reza Bayati,Alison V. DAVIS,Ramy Ghostine,Piyush M. Sinha,Swapnadip Ghosh. Владелец: Intel Corp. Дата публикации: 2024-03-28.

Integrated circuits with gate cut features

Номер патента: US20240088145A1. Автор: Chih-Hao Wang,Wei-Hao Wu,Jia-Ni YU,Kuo-Cheng Ching,Zhi-Chang Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-14.

High aspect ratio metal gate cuts

Номер патента: EP4345880A1. Автор: Matthew Prince,Manish Sharma,Oleg Golonzka,Alison Davis,Reza Bayati,Ramy Ghostine,Swapnadip Ghosh,Piyush Sinha. Владелец: Intel Corp. Дата публикации: 2024-04-03.

Gate cut structures

Номер патента: US20200091143A1. Автор: Hui Zang,Laertis Economikos,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2020-03-19.

Etch stop layer for metal gate cut

Номер патента: US20240113106A1. Автор: Daniel J. Harris,Leonard P. GULER,Sukru Yemenicioglu,Nikhil J. Mehta. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Reduction of oxide recesses for gate height control

Номер патента: US20140339642A1. Автор: Huey-Ming Wang,Tsung-Liang Chen,Hsin-Neng Tai. Владелец: Globalfoundries Inc. Дата публикации: 2014-11-20.

Reduction of oxide recesses for gate height control

Номер патента: US20150048446A1. Автор: Huey-Ming Wang,Tsung-Liang Chen,Hsin-Neng Tai. Владелец: Globalfoundries Inc. Дата публикации: 2015-02-19.

Semiconductor device including process monitoring pattern having overlapping input/output pad array area

Номер патента: US8445907B2. Автор: Dong-Hyun Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-05-21.

Semiconductor device including process monitoring pattern and methods of fabricating the same

Номер патента: US20110187001A1. Автор: Dong-Hyun Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-08-04.

Stacked cmos devices with two dielectric materials in a gate cut

Номер патента: WO2024125246A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Min Gyu Sung,Julien Frougier. Владелец: Ibm (China) Co., Limited. Дата публикации: 2024-06-20.

Semiconductor devices between gate cuts and deep backside vias

Номер патента: US20240321685A1. Автор: Charles H. Wallace,Leonard P. GULER,Baofu ZHU,Saurabh Acharya,Shengsi LIU. Владелец: Intel Corp. Дата публикации: 2024-09-26.

Semiconductor devices between gate cuts and deep backside vias

Номер патента: EP4435846A1. Автор: Charles H. Wallace,Leonard P. GULER,Baofu ZHU,Saurabh Acharya,Shengsi LIU. Владелец: Intel Corp. Дата публикации: 2024-09-25.

Gate cut with high selectivity to preserve interlevel dielectric layer

Номер патента: US09837276B2. Автор: Andrew M. Greene,Ruilong Xie,Ryan O. Jung. Владелец: International Business Machines Corp. Дата публикации: 2017-12-05.

Gate cut with high selectivity to preserve interlevel dielectric layer

Номер патента: US09659786B2. Автор: Andrew M. Greene,Ruilong Xie,Ryan O. Jung. Владелец: International Business Machines Corp. Дата публикации: 2017-05-23.

Single diffusion cut for gate structures

Номер патента: US11923248B2. Автор: Hui Zang,Ruilong Xie. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-03-05.

I/o device for gate-all-around transistors

Номер патента: US20200279777A1. Автор: Takashi Ando,Pouya Hashemi,Alexander Reznicek,ChoongHyun Lee,Jingyun Zhang. Владелец: International Business Machines Corp. Дата публикации: 2020-09-03.

N/p-independently strained post-replacement metal gate (rmg) gate cut for performance enhanced finfet

Номер патента: US20240243131A1. Автор: Haining Yang,Junjing Bao,Ming-Huei Lin. Владелец: Qualcomm Inc. Дата публикации: 2024-07-18.

Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features

Номер патента: US20140312500A1. Автор: Yang Da,Zhongze Wang,John J. Zhu. Владелец: Qualcomm Inc. Дата публикации: 2014-10-23.

Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features

Номер патента: EP2987034A1. Автор: Yang Da,Zhongze Wang,John J. Zhu. Владелец: Qualcomm Inc. Дата публикации: 2016-02-24.

Gate cuts with self-forming polymer layer

Номер патента: US20240105452A1. Автор: Matthew J. Prince,Andrew Arnold,Li Huey Tan,Reza Bayati,Alison V. DAVIS,Ramy Ghostine,Chun C. Kuo. Владелец: Intel Corp. Дата публикации: 2024-03-28.

Dielectric layer stack for wide gate cut structures

Номер патента: US20240203739A1. Автор: Matthew J. Prince,Andrew Arnold,Alison V. DAVIS,Swapnadip Ghosh,Yulia Gotlib,Chun Chen Kuo,Cun Wen. Владелец: Intel Corp. Дата публикации: 2024-06-20.

Gate cuts in a grating pattern across an integrated circuit

Номер патента: US20240088218A1. Автор: Matthew J. Prince,Gurpreet Singh,Manish Chandhok,Shao-Ming Koh,Leonard P. GULER. Владелец: Intel Corp. Дата публикации: 2024-03-14.

Metal gate cut with hybrid material fill

Номер патента: US20240213100A1. Автор: Matthew J. Prince,Anupama Bowonder,Chiao-Ti HUANG,Swapnadip Ghosh,Yulia Gotlib,Bishwajit Debnath. Владелец: Intel Corp. Дата публикации: 2024-06-27.

Decoupling capacitor inside gate cut trench

Номер патента: US12057387B2. Автор: CHENG Chi,Takashi Ando,David Wolpert,Praneet Adusumilli,Reinaldo Vega. Владелец: International Business Machines Corp. Дата публикации: 2024-08-06.

Devices and methods of improving device performance through gate cut last process

Номер патента: US09679985B1. Автор: Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-13.

Gate dielectric preserving gate cut process

Номер патента: US11152250B2. Автор: Chun-Fai Cheng,Chih-Ming Sun,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-19.

Gate dielectric preserving gate cut process

Номер патента: US11876013B2. Автор: Chun-Fai Cheng,Chih-Ming Sun,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-16.

Gate cut subsequent to replacement gate

Номер патента: US20230143317A1. Автор: Andrew M. Greene,Ruilong Xie,Chanro Park,Andrew Gaul. Владелец: International Business Machines Corp. Дата публикации: 2023-05-11.

Bimetallic oxide compositions for gate dielectrics

Номер патента: US7015096B1. Автор: Vladimir Zubkov,Sey-Shing Sun. Владелец: LSI Logic Corp. Дата публикации: 2006-03-21.

Fin to fin trench contact through a metal gate cut

Номер патента: EP4109555A1. Автор: Guillaume Bouche,Leonard P. GULER,Andy Chih-Hung Wei,Shashi Vyas. Владелец: Intel Corp. Дата публикации: 2022-12-28.

Decoupling capacitor inside gate cut trench

Номер патента: WO2022117565A1. Автор: CHENG Chi,Takashi Ando,David Wolpert,Praneet Adusumilli,Reinaldo Vega. Владелец: Ibm United Kingdom Limited. Дата публикации: 2022-06-09.

Gate contact inside gate cut trench

Номер патента: US11916014B2. Автор: CHENG Chi,Takashi Ando,Praneet Adusumilli,Reinaldo Vega. Владелец: International Business Machines Corp. Дата публикации: 2024-02-27.

Late gate cut using selective conductor deposition

Номер патента: US20200176258A1. Автор: Hui Zang,David P. Brunco. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-04.

Integration scheme for gate height control and void free rmg fill

Номер патента: US20180323113A1. Автор: Katsunori Onishi,Pei Liu,Chih-Chiang Chang,Suraj Kumar PATIL. Владелец: Globalfoundries Inc. Дата публикации: 2018-11-08.

Process monitoring method and process monitoring system

Номер патента: US12107021B2. Автор: Chunyang WANG,Xinran Liu,Changli Zhu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-01.

Process monitor circuitry with measurement capability

Номер патента: US20210057294A1. Автор: Joseph Shor,Liron Lisha. Владелец: Birad Research and Development Co Ltd. Дата публикации: 2021-02-25.

Process monitor device having a plurality of sensors arranged in concentric circles

Номер патента: US11735486B2. Автор: Kartik Ramaswamy,Leonard TEDESCHI. Владелец: Applied Materials Inc. Дата публикации: 2023-08-22.

Conductive via structures for gate contact or trench contact

Номер патента: US20220392840A1. Автор: Tahir Ghani,Charles H. Wallace,Leonard P. GULER. Владелец: Intel Corp. Дата публикации: 2022-12-08.

Gate cut with integrated etch stop layer

Номер патента: US12074165B2. Автор: Rajasekhar Venigalla,Andrew M. Greene,Marc A. Bergendahl. Владелец: Tessera LLC. Дата публикации: 2024-08-27.

Conductive via structures for gate contact or trench contact

Номер патента: EP4099372A1. Автор: Tahir Ghani,Charles Wallace,Leonard GULER. Владелец: Intel Corp. Дата публикации: 2022-12-07.

Gate cut first isolation formation with contact forming process mask protection

Номер патента: US20200266286A1. Автор: Xiaoming Yang,Sipeng Gu,Keith H. Tabakman,Jeffrey Chee. Владелец: Globalfoundries Inc. Дата публикации: 2020-08-20.

Gate cut with integrated etch stop layer

Номер патента: US11776957B2. Автор: Rajasekhar Venigalla,Andrew M. Greene,Marc A. Bergendahl. Владелец: Tessera LLC. Дата публикации: 2023-10-03.

Gate cut with integrated etch stop layer

Номер патента: US20230282641A1. Автор: Rajasekhar Venigalla,Andrew M. Greene,Marc A. Bergendahl. Владелец: Tessera LLC. Дата публикации: 2023-09-07.

Gate cut with integrated etch stop layer

Номер патента: US20240222373A1. Автор: Rajasekhar Venigalla,Andrew M. Greene,Marc A. Bergendahl. Владелец: Tessera LLC. Дата публикации: 2024-07-04.

Finfet gate cut after dummy gate removal

Номер патента: US20200243648A1. Автор: Andrew M. Greene,John R. Sporre,Jeffrey Shearer,Nicole A. Saulnier,Siva Kanakasabapathy. Владелец: Tessera LLC. Дата публикации: 2020-07-30.

Gate cut in rmg

Номер патента: US20200044051A1. Автор: Andrew M. Greene,Ruqiang Bao,Siva Kanakasabapathy. Владелец: International Business Machines Corp. Дата публикации: 2020-02-06.

Gate cut in rmg

Номер патента: US20200044052A1. Автор: Andrew M. Greene,Ruqiang Bao,Siva Kanakasabapathy. Владелец: International Business Machines Corp. Дата публикации: 2020-02-06.

Film modification for gate cut process

Номер патента: US20240113164A1. Автор: Zhen-Cheng Wu,Chi On Chui,Heng-Chia Su,Li-Fong Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-04.

Single diffusion cut for gate structures

Номер патента: US20200185266A1. Автор: Hui Zang,Ruilong Xie,Jessica M. DECHENE. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-11.

Device and method to connect gate regions separated using a gate cut

Номер патента: US09853112B2. Автор: Kern Rim,Stanley Seungchul SONG,Yanxiang Liu. Владелец: Qualcomm Inc. Дата публикации: 2017-12-26.

Integrated circuit structures with backside gate cut or trench contact cut

Номер патента: US20220392896A1. Автор: Tahir Ghani,Charles H. Wallace,Leonard P. GULER. Владелец: Intel Corp. Дата публикации: 2022-12-08.

Single diffusion cut for gate structures

Номер патента: US20240030059A1. Автор: Hui Zang,Ruilong Xie,Jessica M. DECHENE. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-01-25.

Single diffusion cut for gate structures

Номер патента: US11810812B2. Автор: Hui Zang,Ruilong Xie,Jessica M. DECHENE. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-11-07.

Integrated circuit structures with gate cuts above buried power rails

Номер патента: EP4064333A1. Автор: Andy Chih-Hung Wei. Владелец: Intel Corp. Дата публикации: 2022-09-28.

Gate cut with asymmetrical channel to gate cut spacing

Номер патента: EP4345877A1. Автор: Tahir Ghani,Marni NABORS,Leonard P. GULER,Sukru Yemenicioglu,Xinning Wang. Владелец: Intel Corp. Дата публикации: 2024-04-03.

Gate cut, with asymmetrical channel to gate cut spacing

Номер патента: US20240113107A1. Автор: Tahir Ghani,Marni NABORS,Leonard P. GULER,Sukru Yemenicioglu,Xinning Wang. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Transistor arrangements with metal gate cuts and recessed power rails

Номер патента: US11508847B2. Автор: Sean T. MA,Andy Chih-Hung Wei,Piyush Mohan Sinha. Владелец: Intel Corp. Дата публикации: 2022-11-22.

Device and method to connect gate regions separated using a gate cut

Номер патента: WO2017014965A1. Автор: Kern Rim,Stanley Seungchul SONG,Yanxiang Liu. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2017-01-26.

Device and method to connect gate regions separated using a gate cut

Номер патента: EP3326198A1. Автор: Kern Rim,Stanley Seungchul SONG,Yanxiang Liu. Владелец: Qualcomm Inc. Дата публикации: 2018-05-30.

Forming agent for gate insulating film of thin film transistor

Номер патента: US8710491B2. Автор: Shinichi Maeda,Takahiro Kishioka. Владелец: Nissan Chemical Corp. Дата публикации: 2014-04-29.

Design of voltage contrast process monitor

Номер патента: US20240112962A1. Автор: Xiao Wen,David Sanchez,Sairam Subramanian,Amit Paliwal,Dipto THAKURTA. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Methods for gate formation in circuit structures

Номер патента: US09640402B1. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2017-05-02.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US09627214B2. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Passivator for Gate Dielectric

Номер патента: US20200066535A1. Автор: Xiong-Fei Yu,Che-Hao Chang,Cheng-Hao Hou,Tsung-Da Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-02-27.

Merging lithography processes for gate patterning

Номер патента: US09691868B2. Автор: Stanley Seungchul SONG,Zhongze Wang,Choh fei Yeap. Владелец: Qualcomm Inc. Дата публикации: 2017-06-27.

Half select method and structure for gating rashba or spin hall MRAM

Номер патента: US09490297B1. Автор: Patrick M. Braganca,Andrei Gustavo Fidelis GARCIA. Владелец: HGST NETHERLANDS BV. Дата публикации: 2016-11-08.

Methods for gate formation in circuit structures

Номер патента: US9947545B2. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-17.

Methods for gate formation in circuit structures

Номер патента: US20170243748A1. Автор: Xintuo Dai,Jiong Li. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-24.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US9514948B2. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-12-06.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20160315166A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-10-27.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20150171182A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2015-06-18.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20160314977A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-10-27.

Replacement Metal Gate Integration for Gate All Around Transistors

Номер патента: US20240186401A1. Автор: Effendi Leobandung,Eric Miller,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Quadruple gate dielectric for gate-all-around transistors

Номер патента: US20200258785A1. Автор: Takashi Ando,ChoongHyun Lee,Jingyun Zhang. Владелец: International Business Machines Corp. Дата публикации: 2020-08-13.

Interface layer for gate stack using O3 post treatment

Номер патента: US09698234B2. Автор: Mark S. Rodder,Wei-E Wang,Jorge A. Kittl. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-07-04.

Method for using disposable hard mask for gate critical dimension control

Номер патента: US5670423A. Автор: Chue-San Yoo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 1997-09-23.

High performance hkmg stack for gate first integration

Номер патента: US20130020656A1. Автор: Frank Jakubowski,Till Schloesser,Peter Baars. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-24.

Integrated circuit structures having fin isolation regions recessed for gate contact

Номер патента: US20240113111A1. Автор: Tahir Ghani,Leonard P. GULER,Sukru Yemenicioglu,Clifford Ong. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Integrated circuit structures having fin isolation regions recessed for gate contact

Номер патента: EP4345875A1. Автор: Tahir Ghani,Leonard P. GULER,Sukru Yemenicioglu,Clifford Ong. Владелец: Intel Corp. Дата публикации: 2024-04-03.

Process monitor mark and the method for using the same

Номер патента: US20070178659A1. Автор: Chin-Cheng Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-08-02.

Process monitor for monitoring and compensating circuit performance

Номер патента: US7821280B2. Автор: James Y. C. Chang,Leonard Dauphinee,Lawrence M. Burns,Ramon A. Gomez. Владелец: Broadcom Corp. Дата публикации: 2010-10-26.

Gate cut grid across integrated circuit

Номер патента: US20230275085A1. Автор: Robert Joachim,Stephen M. Cea,Leonard P. GULER,Mohit K. HARAN,Dan S. Lavric,Sukru Yemenicioglu,Shengsi LIU. Владелец: Intel Corp. Дата публикации: 2023-08-31.

Gate cut structures

Номер патента: EP4239665A1. Автор: Tahir Ghani,Robert Joachim,Mohammad Hasan,Leonard P. GULER,Shengsi LIU. Владелец: Intel Corp. Дата публикации: 2023-09-06.

Cut mask design layers to provide compact cell height

Номер патента: US09551923B2. Автор: Ming-Yi Lin,Yen-Sen Wang,Jyh-Kang Ting,Chen-Hung Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

Partial gate cut structures in an integrated circuit

Номер патента: US20230299135A1. Автор: Tahir Ghani,Charles H. Wallace,Leonard P. GULER,Saurabh MORARKA. Владелец: Intel Corp. Дата публикации: 2023-09-21.

Partial gate cut structures in an integrated circuit

Номер патента: EP4246564A1. Автор: Tahir Ghani,Charles H. Wallace,Leonard P. GULER,Saurabh MORARKA. Владелец: Intel Corp. Дата публикации: 2023-09-20.

Gate cut method for replacement metal gate integration

Номер патента: US09818836B1. Автор: Ruilong Xie,Chanro Park,Min Gyu Sung,Dong-Ick Lee. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-14.

Silicon-on-insulator H-transistor layout for gate arrays

Номер патента: US5298773A. Автор: Richard L. Woodruff. Владелец: United Technologies Corp. Дата публикации: 1994-03-29.

Methods for performing a gate cut last scheme for FinFET semiconductor devices

Номер патента: US09991361B2. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-05.

Self-aligned gate cut structures

Номер патента: EP4138122A1. Автор: Yang-chun Cheng,Guillaume Bouche,Anand S. Murthy,Andy Chih-Hung Wei,Ryan Pearce. Владелец: Intel Corp. Дата публикации: 2023-02-22.

Gate cut structures

Номер патента: US20230282701A1. Автор: Tahir Ghani,Robert Joachim,Mohammad Hasan,Leonard P. GULER,Shengsi LIU. Владелец: Intel Corp. Дата публикации: 2023-09-07.

Self-aligned gate cut structures

Номер патента: US20230057326A1. Автор: Yang-chun Cheng,Guillaume Bouche,Anand S. Murthy,Andy Chih-Hung Wei,Ryan Pearce. Владелец: Intel Corp. Дата публикации: 2023-02-23.

Hybrid gate cut for stacked transistors

Номер патента: WO2023109407A1. Автор: Chen Zhang,Carl Radens,Jingyun Zhang,Ruilong Xie. Владелец: Ibm (China) Co., Limited. Дата публикации: 2023-06-22.

Forksheet transistor structures with gate cut spine

Номер патента: US20240113104A1. Автор: Tahir Ghani,Leonard P. GULER,Sukru Yemenicioglu,Xinning Wang. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Flexible cell boundary with curved gate cut region

Номер патента: US20240072035A1. Автор: CHENG Chi,Ruilong Xie,Albert M. Chu,Indira Seshadri. Владелец: International Business Machines Corp. Дата публикации: 2024-02-29.

Methods for performing a gate cut last scheme for finfet semiconductor devices

Номер патента: US20170345913A1. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-30.

Decoupling capacitor inside gate cut trench

Номер патента: US20230422461A1. Автор: CHENG Chi,Takashi Ando,David Wolpert,Praneet Adusumilli,Reinaldo Vega. Владелец: International Business Machines Corp. Дата публикации: 2023-12-28.

Upstream process monitoring for deposition and etch chambers

Номер патента: US20240312812A1. Автор: Matan Lapidot,Shay Yaari. Владелец: Inficon Inc. Дата публикации: 2024-09-19.

Process monitor for wafer thinning

Номер патента: US20210296138A1. Автор: Stephen L. Morein. Владелец: Synaptics Inc. Дата публикации: 2021-09-23.

Process monitor for wafer thinning

Номер патента: US11545366B2. Автор: Stephen L. Morein. Владелец: Synaptics Inc. Дата публикации: 2023-01-03.

Process detecting unit, substrate processing apparatus and substrate process monitoring method

Номер патента: US20240178023A1. Автор: Jong-Seok Lee,Seung-Min Oh,In-Il JUNG. Владелец: Tes Co Ltd. Дата публикации: 2024-05-30.

Process monitor for wafer thinning

Номер патента: US20200075345A1. Автор: Stephen L. Morein. Владелец: Synaptics Inc. Дата публикации: 2020-03-05.

Wafer process monitoring system and method

Номер патента: US20230411190A1. Автор: Cheng-Hao Kuo,Ming-Sung HUNG,Chia-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-21.

Wafer process monitoring system and method

Номер патента: US11817336B2. Автор: Cheng-Hao Kuo,Ming-Sung HUNG,Chia-Lun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-14.

PROCESS MONITOR FOR WAFER THINNING

Номер патента: US20200075345A1. Автор: MOREIN Stephen L.. Владелец: . Дата публикации: 2020-03-05.

Real time process monitoring and control for semicontor layers

Номер патента: WO2008039280A3. Автор: Wen Chang,Philip Kraus,Vedapuram S Achutharaman,Tarpan Dixit. Владелец: Tarpan Dixit. Дата публикации: 2008-05-22.

Capping layer for gate electrodes

Номер патента: US12080779B2. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-03.

Capping layer for gate electrodes

Номер патента: US20210057543A1. Автор: Teng-Chun Tsai,Huang-Lin Chao,Chin-Hsiang Lin,Akira Mineji. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-25.

Sacrificial capping layer for gate protection

Номер патента: US20220344169A1. Автор: Shan Hu,David L. O'Meara,Yun Han,Andrew Metz,Henan ZHANG,Cheryl ALIX. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-10-27.

Sacrificial capping layer for gate protection

Номер патента: WO2022226320A1. Автор: Shan Hu,Yun Han,David O'Meara,Andrew Metz,Henan ZHANG,Cheryl ALIX. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-10-27.

Active pad patterns for gate alignment marks

Номер патента: US20140175594A1. Автор: Frank Kahlenberg,Michael Hsieh,Ming Hao TANG. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2014-06-26.

Process monitoring of deep structures with X-ray scatterometry

Номер патента: US11955391B2. Автор: Thaddeus Gerard Dziura,Antonio Arion Gellineau. Владелец: KLA Tencor Corp. Дата публикации: 2024-04-09.

Lithography process monitoring of local interconnect continuity

Номер патента: US09443775B2. Автор: Hui Zang,Hyun-Jin Cho,Tenko Yamashita,Chun-Chen Yeh. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-13.

Erosion rate monitoring for wafer fabrication equipment

Номер патента: US20240030006A1. Автор: Chao Lin Lee,Synn Nee Chow,Robert Brian Skaggs,Alex James Schrinsky. Владелец: Micron Technology Inc. Дата публикации: 2024-01-25.

Efficiency monitor for inductive power transmission

Номер патента: EP4372951A2. Автор: Amir Ben-Shalom,Oola Greenwald,Arik Rofe,Yossi Azancot. Владелец: POWERMAT TECHNOLOGIES LTD. Дата публикации: 2024-05-22.

Efficiency monitor for inductive power transmission

Номер патента: EP4372951A3. Автор: Amir Ben-Shalom,Oola Greenwald,Arik Rofe,Yossi Azancot. Владелец: POWERMAT TECHNOLOGIES LTD. Дата публикации: 2024-07-31.

Safety monitor for machinery

Номер патента: US20030218387A1. Автор: Christopher Higgins. Владелец: Tapeswitch Ltd. Дата публикации: 2003-11-27.

Pump monitor for photoactivation patient treatment system

Номер патента: CA1249756A. Автор: Thomas P. Hartranft. Владелец: McNeilab Inc. Дата публикации: 1989-02-07.

Vacuum monitor for vacuum interrupter

Номер патента: CA1208337A. Автор: Shuzo Tanigaki,Masayuki Sakaki. Владелец: Meidensha Corp. Дата публикации: 1986-07-22.

Efficiency monitor for inductive power transmmission

Номер патента: IL201087A. Автор: . Владелец: POWERMAT TECHNOLOGIES LTD. Дата публикации: 2013-09-30.

Composite structure for gate level inter-layer dielectric

Номер патента: US09595450B2. Автор: William Weilun HONG,Ying-Tsung Chen,Che-Hao Tu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-14.

Machine learning-based scatterometry and feed forward techniques for gate-all-around transistors

Номер патента: US20240079254A1. Автор: Deepak Verma,Navnit Agarwal,Ayan Das. Владелец: Intel Corp. Дата публикации: 2024-03-07.

Upstream process monitoring for deposition and etch chambers

Номер патента: EP4367713A1. Автор: Matan Lapidot,Shay Yaari. Владелец: Inficon Inc. Дата публикации: 2024-05-15.

Semiconductor device with shared contact hole for gate electrode and drain region

Номер патента: EP2075831A3. Автор: Masahiko Renesas Technology Corp. Takeuchi. Владелец: Renesas Technology Corp. Дата публикации: 2010-09-01.

Self-aligned via for gate contact of semiconductor devices

Номер патента: WO2016003595A1. Автор: Kern Rim,Stanley Seungchul SONG,Zhongze Wang,Choh fei Yeap. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-01-07.

Process monitor and process monitoring method

Номер патента: EP4131340A1. Автор: Teppei Tanaka. Владелец: Sumitomo Heavy Industries Ltd. Дата публикации: 2023-02-08.

Process monitor and process monitoring method

Номер патента: EP4131340A4. Автор: Teppei Tanaka. Владелец: Sumitomo Heavy Industries Ltd. Дата публикации: 2023-10-25.

Modular interconnects for gate-all-around transistors

Номер патента: US20180337133A1. Автор: John H. Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-11-22.

Modular interconnects for gate-all-around transistors

Номер патента: US09997463B2. Автор: John H. Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-06-12.

Plasma process monitoring apparatus and plasma processing apparatus comprising the same

Номер патента: KR102035423B1. Автор: 윤일구. Владелец: 연세대학교 산학협력단. Дата публикации: 2019-10-22.

Measurement system for process monitoring

Номер патента: US20240019343A1. Автор: Jung Hwan Seo. Владелец: Industry Academic Cooperation Foundation of Hongik University. Дата публикации: 2024-01-18.

Upstream process monitoring for deposition and etch chambers

Номер патента: IL309944A. Автор: Matan Lapidot,Shay Yaari. Владелец: Shay Yaari. Дата публикации: 2024-03-01.

Systems and methods for process monitoring and control

Номер патента: US20240274449A1. Автор: Byung-Jun Lee,Chan Park,Pil Sung JO,Sunghee Yun,Simon Zabrocki,Minju Jung,Dongkyun Yim. Владелец: Gauss Labs Inc. Дата публикации: 2024-08-15.

Systems and methods for process monitoring and control

Номер патента: EP4418055A1. Автор: Byung-Jun Lee,Chan Park,Pil Sung JO,Sunghee Yun,Simon Zabrocki,Minju Jung,Dongkyun Yim. Владелец: Gauss Labs Inc. Дата публикации: 2024-08-21.

System and method for process monitoring of polysilicon etch

Номер патента: WO2003032375A2. Автор: Bharath Rangarajan,Bhanwar Singh,Michael K. Templeton. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2003-04-17.

Adaptive value capture for process monitoring

Номер патента: US09905483B2. Автор: Henry Arnold. Владелец: Advantest Corp. Дата публикации: 2018-02-27.

System and method for process monitoring of polysilicon etch

Номер патента: AU2002327761A1. Автор: Bharath Rangarajan,Bhanwar Singh,Michael K. Templeton. Владелец: Advanced Micro Devices Inc. Дата публикации: 2003-04-22.

Automated process monitoring and analysis system for semiconductor processing

Номер патента: WO2001080306A3. Автор: Michael L Miller,Anthony John Toprac. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-07-18.

Die-based in-fab process monitoring and analysis system for semiconductor processing

Номер патента: US6368884B1. Автор: Greg Goodwin,Anastasia Lynn Oshelski. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-04-09.

Method of monitoring a manufacturing-process and manufacturing-process monitoring device

Номер патента: US20140209782A1. Автор: Young-Jae Jung. Владелец: Samsung Display Co Ltd. Дата публикации: 2014-07-31.

Normal-incidence in-situ process monitor sensor

Номер патента: US20240222100A1. Автор: Shan Hu,Scott LEFEVRE,Peter Delia. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-04.

Quartz crystal microbalance sensor for fabrication process monitoring and related method

Номер патента: EP3673261A1. Автор: Chunhua Song,Mohamed Buhary Rinzan,Steve James Lakeman. Владелец: Inficon Inc. Дата публикации: 2020-07-01.

Real time process monitoring and control for semiconductor junctions

Номер патента: US20110259391A1. Автор: Wen Chang,Vedapuram S. Achutharaman,Philip Kraus,Tarpan Dixit. Владелец: Solyndra Inc. Дата публикации: 2011-10-27.

Real time process monitoring and control for semiconductor junctions

Номер патента: US8110828B2. Автор: Wen Chang,Vedapuram S. Achutharaman,Philip Kraus,Tarpan Dixit. Владелец: Solyndra Inc. Дата публикации: 2012-02-07.

Gate Dielectric for Gate Leakage Reduction

Номер патента: US20240266415A1. Автор: Huang-Lin Chao,Pinyen Lin,Hsiang-Pi Chang,Shen-Yang LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-08.

Normal-incident in-situ process monitor sensor

Номер патента: US20200043710A1. Автор: Ching Ling Meng,Qiang Zhao,Hanyou Chu,Holger Tuitje,Xinkang Tian. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-02-06.

Lattice matched and strain compensated single-crystal compound for gate dielectric

Номер патента: US09876090B1. Автор: Martin M. Frank,Guy M. Cohen. Владелец: International Business Machines Corp. Дата публикации: 2018-01-23.

Variable gate width for gate all-around transistors

Номер патента: US09590089B2. Автор: Jack T. Kavalieros,Robert S. Chau,Ravi Pillarisetty,Seung Hoon Sung,Van H. Le,Willy Rachmady. Владелец: Intel Corp. Дата публикации: 2017-03-07.

Process monitor for CMOS integrated circuits

Номер патента: US5631596A. Автор: Nicholas Sporck,Teh-Kuin Lee. Владелец: LSI Logic Corp. Дата публикации: 1997-05-20.

Semiconductor structure for gate all around nanosheet device

Номер патента: WO2023197202A1. Автор: Yijian Chen,Krishna Kumar Bhuwalka. Владелец: Huawei Technologies CO.,Ltd.. Дата публикации: 2023-10-19.

Normal-incidence in-situ process monitor sensor

Номер патента: US11961721B2. Автор: Ching Ling Meng,Qiang Zhao,Hanyou Chu,Holger Tuitje,Xinkang Tian. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-04-16.

Vertical mosfet with through-body via for gate

Номер патента: WO2010008527A1. Автор: Ahmad Ashrafzadeh. Владелец: MAXIM INTEGRATED PRODUCTS, INC.. Дата публикации: 2010-01-21.

Uv crosslinking of pvdf-based polymers for gate dielectric insulators of organic thin-film transistors

Номер патента: US20210226142A1. Автор: Yang Li,Xin Li,Mingqian He,Hongxiang Wang. Владелец: Corning Inc. Дата публикации: 2021-07-22.

Waveform conversion circuit for gate driver

Номер патента: US20190280685A1. Автор: Po-Chin Chuang. Владелец: Delta Electronics Inc. Дата публикации: 2019-09-12.

Waveform conversion circuit for gate driver

Номер патента: US10498324B2. Автор: Po-Chin Chuang. Владелец: Delta Electronics Inc. Дата публикации: 2019-12-03.

Waveform conversion circuit for gate driver

Номер патента: US20180013413A1. Автор: Po-Chin Chuang. Владелец: Delta Electronics Inc. Дата публикации: 2018-01-11.

Method and structure for gate-all-around devices

Номер патента: US20230335586A1. Автор: Chun-Fai Cheng,Chang-Miao Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-19.

Adaptive value capture for process monitoring

Номер патента: US20150193378A1. Автор: Henry Arnold. Владелец: Advantest Singapore Pte Ltd. Дата публикации: 2015-07-09.

Selective silicon etch for gate all around transistors

Номер патента: US12062708B2. Автор: Sanjay Natarajan,Benjamin Colombeau,Myungsun Kim,Michael Stolfi. Владелец: Applied Materials Inc. Дата публикации: 2024-08-13.

Process monitor based keeper scheme for dynamic circuits

Номер патента: US20040051562A1. Автор: Claude Gauthier,Shaishav Desai. Владелец: Sun Microsystems Inc. Дата публикации: 2004-03-18.

Injection molding process monitoring system and method

Номер патента: EP4400285A1. Автор: Young-Hwa Lee,Jun-Han Lee. Владелец: Emoldino Inc. Дата публикации: 2024-07-17.

Health monitor for a geographically distributed voice messaging system

Номер патента: WO2006033694A3. Автор: James H Spencer,Sonny R Bettis,Jon S Plotky,Ian M Moraes,Philip L Lowman. Владелец: Philip L Lowman. Дата публикации: 2008-06-05.

Wireless industrial process monitor

Номер патента: US11927487B2. Автор: Theodore Henry Schnaare. Владелец: Rosemount Inc. Дата публикации: 2024-03-12.

Steam quality process monitor

Номер патента: US20200045386A1. Автор: Winston Johnson. Владелец: Individual. Дата публикации: 2020-02-06.

System and method for low-noise edge detection and its use for process monitoring and control

Номер патента: US20210202204A1. Автор: Chris Mack. Владелец: Fractilia LLC. Дата публикации: 2021-07-01.

Method for synchronising image data obtained from process monitoring cameras

Номер патента: EP1033881A3. Автор: Jorma Snellman,Mika Valkonen,Juha Toivonen. Владелец: Honeywell Oy. Дата публикации: 2002-06-05.

Method for synchronising image data obtained from process monitoring cameras

Номер патента: EP1033881B1. Автор: Jorma Snellman,Mika Valkonen,Juha Toivonen. Владелец: Honeywell Oy. Дата публикации: 2007-05-02.

Apparatus and method for gated transmission in a cdma communication system

Номер патента: CA2371958C. Автор: Chang-Soo Park,Jae-Min; Ahn,Hyun-Woo; Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-07-25.

Systems and methods for gate driver with field-adjustable uvlo

Номер патента: US20190267793A1. Автор: Arman Hematy. Владелец: Maxim Integrated Products Inc. Дата публикации: 2019-08-29.

Control circuitry for gated diode switches

Номер патента: CA1177890A. Автор: James E. Kohl,Adrian R. Hartman,Terence J. Riley,William F. Macpherson. Владелец: Western Electric Co Inc. Дата публикации: 1984-11-13.

Hall sensor fault detection for gate crossing mechanisms

Номер патента: AU2021201013A1. Автор: Daniel Spencer,Quinton Reed,Norbert Soós. Владелец: Siemens Mobility Inc. Дата публикации: 2021-09-09.

Hall sensor fault detection for gate crossing mechanisms

Номер патента: AU2021201013B2. Автор: Daniel Spencer,Quinton Reed,Norbert Soós. Владелец: Siemens Mobility Inc. Дата публикации: 2022-11-24.

Method and computing device for gating data between workspaces

Номер патента: US20200302079A1. Автор: Levi Nichols,Hannah Deering,Roseanne Streit. Владелец: Workiva Inc. Дата публикации: 2020-09-24.

Process monitoring apparatus and process monitoring method

Номер патента: KR101197828B1. Автор: 김진용,장성호,오세진,정진욱,최익진. Владелец: 정진욱. Дата публикации: 2012-11-05.

System and method for generating and analyzing roughness measurements and their use for process monitoring and control

Номер патента: US20210142977A1. Автор: Chris Mack. Владелец: Fractilia LLC. Дата публикации: 2021-05-13.

System and method for generating and analyzing roughness measurements and their use for process monitoring and control

Номер патента: US20210082658A1. Автор: Chris Mack. Владелец: Fractilia LLC. Дата публикации: 2021-03-18.

System and method for generating and analyzing roughness measurements and their use for process monitoring and control

Номер патента: US20240312757A1. Автор: Chris Mack. Владелец: Fractilia LLC. Дата публикации: 2024-09-19.

High dynamic range measurement system for process monitoring

Номер патента: US09801265B2. Автор: Mike Whelan,John D. Corless,Larry Arlos Bullock,Mark Anthony Meloni. Владелец: Verity Instruments Inc. Дата публикации: 2017-10-24.

Amplifier devices with envelope signal shaping for gate bias modulation

Номер патента: US09755579B1. Автор: Joseph Staudinger,Abdulrhman M. S. Ahmed. Владелец: NXP USA Inc. Дата публикации: 2017-09-05.

Deposition process monitoring and control system

Номер патента: CA1119554A. Автор: Thomas C. Tisone,Thomas S. Latos. Владелец: Gould Inc. Дата публикации: 1982-03-09.

Apparatus and method for monitoring for a control signal in a resource block

Номер патента: US12114340B2. Автор: Tao Luo,Xiaoxia Zhang,Jing Sun,Mostafa KHOSHNEVISAN,Yitao Chen. Владелец: Qualcomm Inc. Дата публикации: 2024-10-08.

Method and device for impedance monitoring for protection against electric shock

Номер патента: US12105128B2. Автор: Wolfgang Hofheinz,Dennis Haub. Владелец: Bender GmbH and Co KG. Дата публикации: 2024-10-01.

SYSTEM AND METHOD FOR PREDICTING STOCHASTIC-AWARE PROCESS WINDOW AND YIELD AND THEIR USE FOR PROCESS MONITORING AND CONTROL

Номер патента: US20210225609A1. Автор: MACK Chris. Владелец: FRACTILIA, LLC. Дата публикации: 2021-07-22.

Self-aligned process for gated field emitters

Номер патента: US5378182A. Автор: David Nan-Chou Liu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 1995-01-03.

User experience monitoring for application remoting

Номер патента: US09699247B2. Автор: Banit AGRAWAL,Rishi Bidarkar. Владелец: VMware LLC. Дата публикации: 2017-07-04.

Session monitoring for selective intervention

Номер патента: US20210117833A1. Автор: Manish Malhotra,Siddartha Sikdar. Владелец: Zineone Inc. Дата публикации: 2021-04-22.

Redundant power distribution and monitoring for LTA vehicles

Номер патента: US20220209550A1. Автор: Jonathan NUTZMANN,Ewout VAN BEKKUM. Владелец: LOON LLC. Дата публикации: 2022-06-30.

Session monitoring for selective intervention

Номер патента: US20240303517A1. Автор: Manish Malhotra,Siddartha Sikdar. Владелец: Session Ai Inc. Дата публикации: 2024-09-12.

Session monitoring for selective intervention

Номер патента: US12045741B2. Автор: Manish Malhotra,Siddartha Sikdar. Владелец: Session Ai Inc. Дата публикации: 2024-07-23.

Methods and systems for performance monitoring for mobile applications

Номер патента: US09554292B2. Автор: Ofer Ronen,Keith Simmons. Владелец: Google LLC. Дата публикации: 2017-01-24.

Door open monitoring for intelligent device

Номер патента: US11952701B2. Автор: Hyunsung PARK,Sangyun Kim. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-04-09.

Content monitoring for virtual meeting rooms

Номер патента: US12074929B2. Автор: Lin Han,Nitasha Walia,Tain BARZSO. Владелец: Zoom Video Communications Inc. Дата публикации: 2024-08-27.

Enhanced radio link monitoring for user equipment

Номер патента: EP3602880A1. Автор: LI ZHANG,Lars Dalsgaard,Jussi-Pekka Koskinen,Tero Henttonen. Владелец: NOKIA TECHNOLOGIES OY. Дата публикации: 2020-02-05.

Using messaging associated with adaptive bitrate streaming to perform media monitoring for mobile platforms

Номер патента: US20240314185A1. Автор: Jan Besehanic. Владелец: Nielsen Co US LLC. Дата публикации: 2024-09-19.

Support for Threshold as Well as Quota Based Usage-Monitoring for the Same Monitoring Key

Номер патента: US20220329698A1. Автор: Ketan Parikh,Ganesh Jaju. Владелец: Parallel Wireless Inc. Дата публикации: 2022-10-13.

Method of and apparatus for monitoring for security threats in computer network traffic

Номер патента: US8683588B2. Автор: David Esteban,David Scarlatti. Владелец: Boeing Co. Дата публикации: 2014-03-25.

Multi machine monitor for TV post production

Номер патента: US5299266A. Автор: John L. Lumsden. Владелец: Sony Electronics Inc. Дата публикации: 1994-03-29.

Monitor for rf transmitter

Номер патента: CA1105092A. Автор: Ralph P. Trefney. Владелец: Bird Electronic Corp. Дата публикации: 1981-07-14.

Ground integrity monitor for electrical apparatus

Номер патента: CA1040269A. Автор: Joseph A. Volk (Jr.). Владелец: Borg Warner Corp. Дата публикации: 1978-10-10.

Monitor for display re-imaging and display re-imaging system

Номер патента: CA2339263C. Автор: Takahiro Kaneko,Toshinari Hayashi. Владелец: NEC Mitsubishi Electric Visual Systems Corp. Дата публикации: 2003-12-09.

Monitoring for a combination downlink control information (dci) for scheduling transmissions in multiple cells

Номер патента: US20230040333A1. Автор: Yuwei REN,Huilin Xu,Ruifeng MA. Владелец: Qualcomm Inc. Дата публикации: 2023-02-09.

Directional Channel Occupancy Monitoring for Spectrum Sharing

Номер патента: US20230370864A1. Автор: Xiaoxia Zhang,Jing Sun,Changlong Xu,Arumugam Chendamarai Kannan,Siyi Chen. Владелец: Qualcomm Inc. Дата публикации: 2023-11-16.

Method and apparatus for radio link monitoring for multiple active bandwidth parts in a wireless communication system

Номер патента: US11924658B2. Автор: Sangwon Kim. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-03-05.

Methods and apparatuses for configuration of monitoring for terminal device

Номер патента: ZA202109494B. Автор: Mikael Wass,Juying GAN,Wenliang Xu,Vazquez Emiliano Merino. Владелец: Ericsson Telefon Ab L M. Дата публикации: 2023-09-27.

Dci format that indicates to monitor for paging dci

Номер патента: US20230292326A1. Автор: Vijay Nangia,Hyejung Jung,Joachim Loehr,Ravi Kuchibhotla. Владелец: Lenovo Singapore Pte Ltd. Дата публикации: 2023-09-14.

Method and system for performance monitor for digital optical dwdm networks

Номер патента: EP2074725A1. Автор: Yusheng Bai,Xiaoandy Shen. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2009-07-01.

Directional channel occupancy monitoring for spectrum sharing

Номер патента: EP4278643A1. Автор: Xiaoxia Zhang,Jing Sun,Changlong Xu,Arumugam Chendamarai Kannan,Siyi Chen. Владелец: Qualcomm Inc. Дата публикации: 2023-11-22.

Monitoring for a combination downlink control information (dci) for scheduling transmissions in multiple cells

Номер патента: EP4091284A1. Автор: Yuwei REN,Huilin Xu,Ruifeng MA. Владелец: Qualcomm Inc. Дата публикации: 2022-11-23.

Differential rsrp based performance monitoring for ai/ml model or functionality

Номер патента: WO2024109163A1. Автор: Bingchao LIU,Yinghao ZHANG. Владелец: LENOVO (BEIJING) LIMITED. Дата публикации: 2024-05-30.

Pdcch monitoring for multi-cell scheduling

Номер патента: EP4378109A1. Автор: Aristides Papasakellariou,Ebrahim MolavianJazi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-05.

Methods and systems for radio link monitoring for high speed scenario

Номер патента: EP3906630A1. Автор: Rui Huang,YANG Tang,Jie Cui,Qiming Li,Shuang TIAN,Yuhan Zhou. Владелец: Apple Inc. Дата публикации: 2021-11-10.

Using messaging associated with adaptive bitrate streaming to perform media monitoring for mobile platforms

Номер патента: CA2959487C. Автор: Jan Besehanic. Владелец: Nielsen Co US LLC. Дата публикации: 2020-07-21.

Using messaging associated with adaptive bitrate streaming to perform media monitoring for mobile platforms

Номер патента: CA2959487A1. Автор: Jan Besehanic. Владелец: Nielsen Co US LLC. Дата публикации: 2016-03-03.

Valve current monitor for use with electric power converters

Номер патента: GB1430749A. Автор: . Владелец: General Electric Co. Дата публикации: 1976-04-07.

Battery charge monitor for a personal computer

Номер патента: US5345392A. Автор: Toshitsugu Mito,Shaun Astarabadi,Leopoldo L. Suarez,Marcus G. Calescibetta. Владелец: International Business Machines Corp. Дата публикации: 1994-09-06.

Signal monitor for recurrent electrical signals

Номер патента: US3792195A. Автор: K Wilson,G Bogel. Владелец: American Chain and Cable Co Inc. Дата публикации: 1974-02-12.

Microprocessor watch-dog monitor for electronic trip units

Номер патента: WO1994000899A1. Автор: Scott Richard Jensen. Владелец: Square D Company. Дата публикации: 1994-01-06.

Error performance monitoring for digital transmission systems

Номер патента: CA1144617A. Автор: Wayne D. Grover. Владелец: Northern Telecom Ltd. Дата публикации: 1983-04-12.

On-line channel quality monitor for a communication channel

Номер патента: US4305150A. Автор: Robert L. Richmond,Paul F. Wyar. Владелец: DIGITAL COMMUNICATIONS CORP. Дата публикации: 1981-12-08.

Using messaging associated with adaptive bitrate streaming to perform media monitoring for mobile platforms

Номер патента: US20240098126A1. Автор: Jan Besehanic. Владелец: Nielsen Co US LLC. Дата публикации: 2024-03-21.

Link monitor for a switch having a pcie-compliant interface, and related systems, devices, and methods

Номер патента: US20220400089A1. Автор: Vincent Hache. Владелец: Microchip Technology Inc. Дата публикации: 2022-12-15.

Clock frequency monitoring for a phase-locked loop based design

Номер патента: EP4246810A1. Автор: Ulrich Moehlmann,Andreas Lentz. Владелец: NXP BV. Дата публикации: 2023-09-20.

Monitoring for lateral movements-related security threats

Номер патента: US11818168B2. Автор: Shmuel Ur,Avihai Ben-Yosef,Eyal Aharoni. Владелец: Cymulate Ltd. Дата публикации: 2023-11-14.

Using messaging associated with adaptive bitrate streaming to perform media monitoring for mobile platforms

Номер патента: US11863606B2. Автор: Jan Besehanic. Владелец: Nielsen Co US LLC. Дата публикации: 2024-01-02.

Transaction monitoring for remote update of wireless router account settings

Номер патента: US20190306025A1. Автор: Timothy Dunn,Frank BILGER,David MAQUEDA. Владелец: T Mobile USA Inc. Дата публикации: 2019-10-03.

Using messaging associated with adaptive bitrate streaming to perform media monitoring for mobile platforms

Номер патента: US11973816B2. Автор: Jan Besehanic. Владелец: Nielsen Co US LLC. Дата публикации: 2024-04-30.

Method and system of real-time data monitoring for vehcile

Номер патента: US20230089105A1. Автор: Tingting Zhou,Franz Lorenz,Yuliu Cao. Владелец: Harman Becker Automotive Systems GmbH. Дата публикации: 2023-03-23.

Electric pulse monitor for an electrified element

Номер патента: WO2021162824A1. Автор: Ryan Escure,William Reichard. Владелец: Transgard LLC. Дата публикации: 2021-08-19.

Home Gateway Monitoring for Vulnerable Home Internet of Things Devices

Номер патента: US20230308467A1. Автор: Kaustubh Joshi,Yaron Koral,Shraboni Jana. Владелец: AT&T INTELLECTUAL PROPERTY I LP. Дата публикации: 2023-09-28.

Improved monitoring for random access

Номер патента: US20230319901A1. Автор: Ozcan Ozturk,Ruiming Zheng. Владелец: Qualcomm Inc. Дата публикации: 2023-10-05.

Monitoring for security threats from lateral movements

Номер патента: US20210218770A1. Автор: Shmuel Ur,Avihai Ben-Yosef,Eyal Aharoni. Владелец: Cymulate Ltd. Дата публикации: 2021-07-15.

Monitoring for a paging signal based on a counter

Номер патента: EP4062678A1. Автор: Xiaoxia Zhang,Jing Sun,Ozcan Ozturk,Pravjyot Singh DEOGUN. Владелец: Qualcomm Inc. Дата публикации: 2022-09-28.

Content monitoring for virtual meeting rooms

Номер патента: EP4331226A1. Автор: Lin Han,Nitasha Walia,Tain BARZSO. Владелец: Zoom Video Communications Inc. Дата публикации: 2024-03-06.

Shaft-mounted monitor for monitoring rotating machinery

Номер патента: US20180331602A1. Автор: Marcos A. Donolo. Владелец: Schweitzer Engineering Laboratories Inc. Дата публикации: 2018-11-15.

Method and system of real-time data monitoring for vehicle

Номер патента: EP4107627A1. Автор: Tingting Zhou,Franz Lorenz,Yuliu Cao. Владелец: Harman Becker Automotive Systems GmbH. Дата публикации: 2022-12-28.

Method and system of real-time data monitoring for vehicle

Номер патента: WO2021163994A1. Автор: Tingting Zhou,Franz Lorenz,Yuliu Cao. Владелец: Harman Becker Automotive Systems GmbH. Дата публикации: 2021-08-26.

Monitoring for a paging signal based on a counter

Номер патента: WO2021101626A1. Автор: Xiaoxia Zhang,Jing Sun,Ozcan Ozturk,Pravjyot Singh DEOGUN. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2021-05-27.

Process monitoring for high-speed joining

Номер патента: US09731340B2. Автор: Torsten Draht,Adnan Kolac. Владелец: Boellhoff Verbindungstechnik GmbH. Дата публикации: 2017-08-15.

Process monitor for a weld controller

Номер патента: WO1999042241A9. Автор: Paul R Buda,Jonathan H Bailey,Larry A Dew,Robert M Slazinski. Владелец: Square D Co. Дата публикации: 1999-11-11.

Process monitor for a weld controller

Номер патента: EP1003620A1. Автор: Larry A. Dew,Paul R. Buda,Robert M. Slazinski,Jonathan H. Bailey. Владелец: Square D Co. Дата публикации: 2000-05-31.

Process monitor for a weld controller

Номер патента: WO1999042241A3. Автор: Paul R Buda,Jonathan H Bailey,Larry A Dew,Robert M Slazinski. Владелец: Square D Co. Дата публикации: 2008-04-10.

Data linkage system and processing monitoring system

Номер патента: US20210271575A1. Автор: Koki Nakajima. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2021-09-02.

Production process monitoring system and control method therefor

Номер патента: US09798304B2. Автор: Shun Jie Fan,Xian Tao Meng. Владелец: SIEMENS AG. Дата публикации: 2017-10-24.

System and method for process monitoring

Номер патента: EP2097794A2. Автор: Sanjay Mehta,Ali Esmaili,Debashis Neogi,Carlos A. Valenzuela. Владелец: Air Products and Chemicals Inc. Дата публикации: 2009-09-09.

Graph-driven production process monitoring

Номер патента: WO2023003545A1. Автор: Lingyun Wang,Arquimedes CANEDO. Владелец: SIEMENS AKTIENGESELLSCHAFT. Дата публикации: 2023-01-26.

Process monitor

Номер патента: GB2281625A. Автор: David Ian Bartlett,Roger Charles Balls,Richard Weaver. Владелец: Minister of Agriculture Fisheries and Food UK. Дата публикации: 1995-03-08.

Process monitoring in a computer system

Номер патента: GB2342472A. Автор: Roger S Brown,Karen C Roles,Simon G Applebaum. Владелец: Sun Microsystems Inc. Дата публикации: 2000-04-12.

Process monitoring system, apparatus and method

Номер патента: US09921575B2. Автор: Satoshi Kobayashi,Mihoko Tanaka,Kimikazu Takahashi. Владелец: Yokogawa Electric Corp. Дата публикации: 2018-03-20.

Method and system for process monitoring the treatment of media

Номер патента: US12041953B2. Автор: Claudia Siemer,Stefan Toepfl,Julian Witt. Владелец: Elea Service GmbH. Дата публикации: 2024-07-23.

Process monitoring system and method

Номер патента: US09646111B2. Автор: Satoshi Kobayashi. Владелец: Yokogawa Electric Corp. Дата публикации: 2017-05-09.

Equipment process monitoring system with automatic configuration of control limits and alert zones

Номер патента: US20190033824A1. Автор: Rita Chattopadhyay,Petek YONTAY. Владелец: Intel Corp. Дата публикации: 2019-01-31.

Process Monitoring System

Номер патента: US20240045393A1. Автор: Stefan Schweiger. Владелец: SICK AG. Дата публикации: 2024-02-08.

Locking device for gates

Номер патента: RU2396410C1. Автор: Игорь Брониславович Подсядло. Владелец: Игорь Брониславович Подсядло. Дата публикации: 2010-08-10.

Methods and systems for process monitoring

Номер патента: WO2023224520A1. Автор: Patrik Johansson. Владелец: Proptechcore Ab. Дата публикации: 2023-11-23.

System of drive for gates

Номер патента: RU2499118C2. Автор: Герд ШААФ. Владелец: Зоммер Антрибс-Унд Функтехник Гмбх. Дата публикации: 2013-11-20.

Resistance spot welder process monitor

Номер патента: US4596917A. Автор: Herman A. Nied,Hsin-Pang Wang,Stanley J. Godwin,Robert K. Cohen,Robert V. Klint. Владелец: General Electric Co. Дата публикации: 1986-06-24.

Method for process monitoring

Номер патента: US20200158554A1. Автор: Armin Wernet,Kaj Uppenkamp,Florian FALGER. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2020-05-21.

System of drive for gates

Номер патента: RU2499117C2. Автор: Герд ШАФ. Владелец: Зоммер Антрибс-Унд Функтехник Гмбх. Дата публикации: 2013-11-20.

Locking mechanism for gates

Номер патента: RU2580275C2. Автор: Джанни МИКЬЕЛАН. Владелец: Кейм Груп С.п.А.. Дата публикации: 2016-04-10.

Process monitoring using crystal with reactance sensor

Номер патента: US20180267086A1. Автор: Mohamed Buhary Rinzan. Владелец: Inficon Inc. Дата публикации: 2018-09-20.

Graph-driven production process monitoring

Номер патента: EP4356208A1. Автор: Lingyun Wang,Arquimedes CANEDO. Владелец: SIEMENS AG. Дата публикации: 2024-04-24.

System and method for process monitoring and control

Номер патента: US20150112454A1. Автор: Muneeb A. Akram,Abdulwahid A. Saif. Владелец: KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS. Дата публикации: 2015-04-23.

System of drive for gates

Номер патента: RU2506394C2. Автор: Герд ШААФ. Владелец: Зоммер Антрибс-Унд Функтехник Гмбх. Дата публикации: 2014-02-10.

Process monitor and method thereof

Номер патента: US4611919A. Автор: Edward A. Brooks, Jr.,Roger M. Bithell. Владелец: CollabRx Inc. Дата публикации: 1986-09-16.

Optical measuring probe for process monitoring

Номер патента: AU2007280793B2. Автор: Stephan Tosch,Reinhard Gross,Markus Brand,Hans Tups. Владелец: Bayer AG. Дата публикации: 2013-03-21.

Device for process monitoring during laser machining

Номер патента: CA3026005C. Автор: Rüdiger Moser. Владелец: Precitec GmbH and Co KG. Дата публикации: 2024-01-23.

Display panel for gate driving and display device

Номер патента: US20240290251A1. Автор: Yongho Kim,HongJae Shin,Seongho YUN. Владелец: LG Display Co Ltd. Дата публикации: 2024-08-29.

Steam sterilization process monitor

Номер патента: US5378430A. Автор: Judith Nieves,Raymond P. Larsson. Владелец: Pymah Corp. Дата публикации: 1995-01-03.

Data transaction system for process monitoring and event tracking

Номер патента: CA2326892A1. Автор: Oded Bashan,Nehemya Itay,Ronnie Gilboa,Moshe Aduk. Владелец: Moshe Aduk. Дата публикации: 1999-10-14.

Process monitoring device

Номер патента: US20240053182A1. Автор: Ennio Bitto,Marc Werner,Benjamin Schwenter. Владелец: Endress and Hauser Flowtec AG. Дата публикации: 2024-02-15.

Process monitoring device

Номер патента: US20240027248A1. Автор: Ennio Bitto,Marc Werner,Robert Lalla,Benjamin Schwenter,Martin Josef Anklin,Ruben Kiefer,Samuel Neeser. Владелец: Endress and Hauser Flowtec AG. Дата публикации: 2024-01-25.

Ultrasonic in-process monitoring and feedback of resistance spot weld quality

Номер патента: US20130269439A1. Автор: Roman Gr. Maev,Frank J. Ewasyshyn,John M. Paille,Andriy M. Chertov. Владелец: Individual. Дата публикации: 2013-10-17.

Enablement of sampling-optimization for gate-level simulation

Номер патента: WO2023109141A1. Автор: Hiroshi Horii,Ikko Hamamura. Владелец: Ibm (China) Co., Limited. Дата публикации: 2023-06-22.

Seat ring notch for gate valve guide rail

Номер патента: CA1057271A. Автор: Thomas A. Schelat. Владелец: Rockwell International Corp. Дата публикации: 1979-06-26.

Stem packing assembly for gate valves and means for removal

Номер патента: CA1072522A. Автор: Bertram L. Morrison. Владелец: ACF Industries Inc. Дата публикации: 1980-02-26.

Energy absorption soft-sided corner assembly for gate-opening sections and gates of dasher board assemblies

Номер патента: CA3150504A1. Автор: Roger NOSEWORTHY. Владелец: Sport Safe Systems Inc. Дата публикации: 2023-08-28.

Energy absorption soft-sided corner assembly for gate-opening sections and gates of dasher board assemblies

Номер патента: US20230272665A1. Автор: Roger NOSEWORTHY. Владелец: Sport Safe Systems Inc. Дата публикации: 2023-08-31.

Drive for gates or doors

Номер патента: WO2010100601A1. Автор: Lorenzo Galberti,Cristian Furlanetto,Davide Bassetto. Владелец: NICE S.P.A.. Дата публикации: 2010-09-10.

Apparatus and method for gating delivery of radiation based on capacitive monitoring of respiratory motion

Номер патента: EP3897828A1. Автор: James Robar,Parisa SADEGHI. Владелец: DALHOUSIE UNIVERSITY. Дата публикации: 2021-10-27.

Systems and methods for gating a step count

Номер патента: US20240160968A1. Автор: Ali Shoeb,Fuad Al-Amin. Владелец: Verily Life Sciences LLC. Дата публикации: 2024-05-16.

Method for gating in tomographic imaging system

Номер патента: EP4406483A2. Автор: Sih-Yu Chen,Jhih-Shian Lee,Ya-Chen Chen. Владелец: Delta Electronics Inc. Дата публикации: 2024-07-31.

Method for gating in tomographic imaging system

Номер патента: EP4406483A3. Автор: Sih-Yu Chen,Jhih-Shian Lee,Ya-Chen Chen. Владелец: Delta Electronics Inc. Дата публикации: 2024-10-09.

Systems and methods for gating a step count

Номер патента: US11861517B1. Автор: Ali Shoeb,Fuad Al-Amin. Владелец: Verily Life Sciences LLC. Дата публикации: 2024-01-02.

Actuator for gates, doors and the like

Номер патента: AU2006801A. Автор: Carlo Pedemonte. Владелец: Genius SpA. Дата публикации: 2001-06-18.

An Improved Lock and Hasp Fastening for Gates, Doors, Cans, Boxes and the like.

Номер патента: GB190919797A. Автор: William Bowdler. Владелец: Individual. Дата публикации: 1910-08-04.

Method for gating in tomographic imaging system

Номер патента: US11954768B2. Автор: Sih-Yu Chen,Jhih-Shian Lee,Ya-Chen Chen. Владелец: Delta Electronics Inc. Дата публикации: 2024-04-09.

Method for gating in tomographic imaging system

Номер патента: US20230290021A1. Автор: Sih-Yu Chen,Jhih-Shian Lee,Ya-Chen Chen. Владелец: Delta Electronics Inc. Дата публикации: 2023-09-14.

Method for gating in tomographic imaging system

Номер патента: US11806184B2. Автор: Sih-Yu Chen,Jhih-Shian Lee. Владелец: Delta Electronics Inc. Дата публикации: 2023-11-07.

Locking device for gates, doors, leaves, drawers and the like and use thereof

Номер патента: WO1990012181A1. Автор: Jorgen Logstrup,Preben Christian Sparre. Владелец: Loegstrup Joergen. Дата публикации: 1990-10-18.

Methods for monitoring for the presence of catalyst fines in heavy fuel oils

Номер патента: EP3347439A1. Автор: David Atkinson. Владелец: Parker Hannifin Manufacturing Ltd. Дата публикации: 2018-07-18.

Methods for monitoring for the presence of catalyst fines in heavy fuel oils

Номер патента: WO2017042558A1. Автор: David Atkinson. Владелец: Parker Hannifin Manufacturing Limited. Дата публикации: 2017-03-16.

Device for Monitoring for Bearing Capacity Failure and System

Номер патента: US20210009388A1. Автор: Martin Mayer,Christian Lutzeyer,Marc Petrescu,Holger Schlechter. Владелец: PUTZMEISTER ENGINEERING GMBH. Дата публикации: 2021-01-14.

Method for dynamic charge status monitoring for an electrically operated work vehicle

Номер патента: US20240343156A1. Автор: Norbert Fritz,Dominik Reiter. Владелец: Deere and Co. Дата публикации: 2024-10-17.

Indoor air quality monitors for commercial HVAC systems

Номер патента: US12117188B2. Автор: Sanjeev Hingorani,Rajesh Alayil,Henry Todd GREIST,Thomas John Wolowicz. Владелец: Lennox Industries Inc. Дата публикации: 2024-10-15.

Continuous cardiac signal generation from a video of a subject being monitored for cardiac function

Номер патента: US09662022B2. Автор: Beilei Xu,Lalit Keshav MESTHA,Survi KYAL. Владелец: Xerox Corp. Дата публикации: 2017-05-30.

Frequency monitoring for memory devices

Номер патента: WO2022066439A1. Автор: Scott E. Schaefer,Aaron P. Boehm,Mark D. INGRAM,Todd Jackson Plum,Scott D. VAN DE GRAAFF. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2022-03-31.

Monitoring for Security Threats in a Container System

Номер патента: US20240037229A1. Автор: Luis Pablo Pabón,Kshithij Iyer,Chun-Yi Su,Vijayan Satyamoorthy Srinivasa. Владелец: Pure Storage Inc. Дата публикации: 2024-02-01.

Temperature monitoring for memory devices

Номер патента: US20240256187A1. Автор: Scott E. Schaefer,Aaron P. Boehm,Mark D. INGRAM,Todd Jackson Plum,Scott D. VAN DE GRAAFF. Владелец: Micron Technology Inc. Дата публикации: 2024-08-01.

Current monitor for a memory device

Номер патента: US20210098046A1. Автор: Debra M. Bell,Aaron P. Boehm,Kristen M. HOPPER. Владелец: Micron Technology Inc. Дата публикации: 2021-04-01.

A movement monitor for selective powering of downhole equipment

Номер патента: WO2022108596A1. Автор: Dylan Blake LOSH. Владелец: Halliburton Energy Services, Inc.. Дата публикации: 2022-05-27.

Method for recalibrating a material attribute monitor for a mobile vehicle

Номер патента: US20070185672A1. Автор: Noel Anderson,Stephen Faivre,Mark Stelford. Владелец: Deere and Co. Дата публикации: 2007-08-09.

Gas line leakage monitor for beverage dispensing system preventing unintended environmental discharge

Номер патента: WO2011050005A3. Автор: Daniel E. Schneider. Владелец: BEVTECH INCORPORATED. Дата публикации: 2011-08-25.

System, Method and Odometer Monitor for Detecting Connectivity Status of Mobile Data Terminal to Vehicle

Номер патента: US20130066483A1. Автор: Michael Scott. Владелец: Webtech Wireless Inc. Дата публикации: 2013-03-14.

Isolation resistance monitoring for high voltage systems

Номер патента: US12123904B2. Автор: Torbjorn Larsson,Fatih AL. Владелец: Volvo Car Corp. Дата публикации: 2024-10-22.

Method for monitoring for a rupture in a fuel vapor container

Номер патента: US09797345B2. Автор: Manfred Weigl,Philippe Grass. Владелец: Continental Automotive GmbH. Дата публикации: 2017-10-24.

Detecting error in safety-critical GPU by monitoring for response to an instruction

Номер патента: US12033236B2. Автор: Philip Morris,Mario Sopena Novales. Владелец: Imagination Technologies Ltd. Дата публикации: 2024-07-09.

Input control method of touch panel monitor for working machine

Номер патента: EP3132098A1. Автор: Hideo Yamada. Владелец: CATERPILLAR SARL. Дата публикации: 2017-02-22.

Sheet behavior monitor for sheet processor

Номер патента: US20090295915A1. Автор: Shinya Matsuyama,Tadahiko Ilno. Владелец: Komori Corp. Дата публикации: 2009-12-03.

Sheet behavior monitor for sheet processor

Номер патента: US9783387B2. Автор: Shinya Matsuyama,Tadahiko Iino. Владелец: Komori Corp. Дата публикации: 2017-10-10.

Sheet behavior monitor for sheet processor

Номер патента: EP2128066A3. Автор: Shinya Matsuyama,Tadahiko Iino. Владелец: Komori Corp. Дата публикации: 2012-10-03.

Detecting error in safety-critical gpu by monitoring for response to an instruction

Номер патента: US20240320781A1. Автор: Philip Morris,Mario Sopena Novales. Владелец: Imagination Technologies Ltd. Дата публикации: 2024-09-26.

Laser energy monitor for myopia treatment device and monitoring method

Номер патента: US20240359033A1. Автор: Te Gao. Владелец: Guanglang Hainan Biotechnology Co Ltd. Дата публикации: 2024-10-31.

Evaporative emissions system check valve monitor for GTDI engines

Номер патента: US09957924B2. Автор: Aed M. Dudar. Владелец: FORD GLOBAL TECHNOLOGIES LLC. Дата публикации: 2018-05-01.

Sheet behavior monitor for sheet processor

Номер патента: US09783387B2. Автор: Shinya Matsuyama,Tadahiko Iino. Владелец: Komori Corp. Дата публикации: 2017-10-10.

Method and System for Monitoring for Scale

Номер патента: US20180038989A1. Автор: Quintilio Vasquez. Владелец: Halliburton Energy Services Inc. Дата публикации: 2018-02-08.

Operation mode monitor for microcomputer

Номер патента: GB8310637D0. Автор: . Владелец: Nissan Motor Co Ltd. Дата публикации: 1983-05-25.

Health monitor for a non-human animal

Номер патента: US20230165470A1. Автор: Ezra S. ASHKENAZI,Jack N. MIZRAHI. Владелец: Petmedics LLC. Дата публикации: 2023-06-01.

Optical position monitor for knitting machines

Номер патента: WO1998051848A1. Автор: Nir Karasikov,Ze'ev Ganor,Izhak Rafaeli. Владелец: Nanomotion Ltd.. Дата публикации: 1998-11-19.

Monitor for monitoring a comsumption of pills from a container

Номер патента: US09445972B2. Автор: Eli Arad,Nissim Zur. Владелец: Individual. Дата публикации: 2016-09-20.

Hygiene compliance monitor for a dispensing container

Номер патента: US09357888B2. Автор: Jackson W. Wegelin,Matthew J. Archer. Владелец: Go-Jo Industries Inc. Дата публикации: 2016-06-07.

Impedance monitor for discerning edema through evaluation of respiratory rate

Номер патента: US5876353A. Автор: Kenneth M. Riff. Владелец: MEDTRONIC INC. Дата публикации: 1999-03-02.

Monitor for biological volume changes

Номер патента: US4059169A. Автор: Winston H. Hagen. Владелец: Individual. Дата публикации: 1977-11-22.

Light output power monitor for a LED printhead

Номер патента: US5016027A. Автор: John J. Uebbing. Владелец: Hewlett Packard Co. Дата публикации: 1991-05-14.

Systems and methods to monitor for false alarms from ionosphere gradient monitors

Номер патента: US9581698B2. Автор: Randy J. Reuter,Douglas Weed. Владелец: Honeywell International Inc. Дата публикации: 2017-02-28.

Optical apparatus for monitoring for thread breakage

Номер патента: US4538536A. Автор: Erwin Sick. Владелец: Erwin Sick GmbH Optik Elektronik. Дата публикации: 1985-09-03.

Coupon monitor for cathodic protection system

Номер патента: CA2258360A1. Автор: Neil G. Thompson,Dan L. Caudill,Kurt M. Lawson. Владелец: CC Technologies Laboratories Inc. Дата публикации: 1999-08-12.

Modular, integrated powerline monitor for non-high voltage applications

Номер патента: WO2002008771A1. Автор: Richard M. Wiesman,Timothy J. Mason,Gary R. Bastarache. Владелец: FOSTER-MILLER, INC.. Дата публикации: 2002-01-31.

Extraction method and system for monitoring for the presence of phosphate esters in jet fuel

Номер патента: CA2809821C. Автор: Gregory J. Werner,Gary R. Tamas. Владелец: Boeing Co. Дата публикации: 2018-03-27.

Method of application protocol monitoring for programmable logic controllers

Номер патента: US7308327B2. Автор: James Coburn,Joe Walacavage. Владелец: Rockwell Automation Technologies Inc. Дата публикации: 2007-12-11.

Monitor for seed planting apparatus

Номер патента: CA1073073A. Автор: George H. Fathauer. Владелец: Dickey John Corp. Дата публикации: 1980-03-04.

System, method and odometer monitor for detecting connectivity status of mobile data terminal to vehicle

Номер патента: CA2753581C. Автор: Michael Scott. Владелец: Webtech Wireless Inc. Дата публикации: 2016-01-26.

Gas line leakage monitor for beverage dispensing system preventing unintended environmental discharge

Номер патента: CA2778075C. Автор: Daniel E. Schneider. Владелец: Green CO2 IP LLC. Дата публикации: 2017-06-27.

Modular, integrated powerline monitor for non-high voltage applications

Номер патента: EP1319188A1. Автор: Richard M. Wiesman,Timothy J. Mason,Gary R. Bastarache. Владелец: Foster Miller Inc. Дата публикации: 2003-06-18.

Fuel flow monitor for an electronic fuel injection circuit

Номер патента: CA1210112A. Автор: Richard E. Staerzl. Владелец: Brunswick Corp. Дата публикации: 1986-08-19.

Computer device for monitoring for fraudulent activity

Номер патента: US20180025356A1. Автор: Frederic Fortin,Vijin Venugopalan,Rajat Maheshwari. Владелец: Mastercard Asia Pacific Pte Ltd. Дата публикации: 2018-01-25.

Normalized standard deviation transition based dosimetry monitoring for laser treatment

Номер патента: US20200350055A1. Автор: Ezekiel Kruglick. Владелец: Xinova LLC. Дата публикации: 2020-11-05.

Real-time image transformation monitoring for display systems

Номер патента: US20230281950A1. Автор: Timothy M. Niedermeyer,Carmen K. Tseng,Steven C. Stowe. Владелец: ROCKWELL COLLINS INC. Дата публикации: 2023-09-07.

Isolation resistance monitoring for high voltage systems

Номер патента: EP4242672A1. Автор: Torbjorn Larsson,Fatih AL. Владелец: Volvo Car Corp. Дата публикации: 2023-09-13.

Monitors for movements of workers

Номер патента: US11839496B2. Автор: Roscoe McCORD,Michael Lawrance,Steven COWLEY,David BICK,John PRYOR. Владелец: Jointaction Group Pty Ltd. Дата публикации: 2023-12-12.

Performance monitoring for a memory system

Номер патента: US20230350598A1. Автор: David Andrew Roberts. Владелец: Micron Technology Inc. Дата публикации: 2023-11-02.

Life expectancy monitoring for memory devices

Номер патента: US20220035535A1. Автор: Scott E. Schaefer,Aaron P. Boehm,Mark D. INGRAM,Todd Jackson Plum,Scott D. VAN DE GRAAFF. Владелец: Micron Technology Inc. Дата публикации: 2022-02-03.

Remote monitor for wild animal trap

Номер патента: US10952428B2. Автор: Yongxiang JIANG. Владелец: Individual. Дата публикации: 2021-03-23.

Continuous cardiac signal generation from a video of a subject being monitored for cardiac function

Номер патента: US20140323888A1. Автор: Beilei Xu,Lalit Keshav MESTHA,Survi KYAL. Владелец: Xerox Corp. Дата публикации: 2014-10-30.

Isolation resistance monitoring for high voltage systems

Номер патента: US20230280384A1. Автор: Torbjorn Larsson,Fatih AL. Владелец: Volvo Car Corp. Дата публикации: 2023-09-07.

Indoor Air Quality Monitors for Commericial HVAC Systems

Номер патента: US20230383974A1. Автор: Sanjeev Hingorani,Rajesh Alayil,Henry Todd GREIST,Thomas John Wolowicz. Владелец: Lennox Industries Inc. Дата публикации: 2023-11-30.

Temperature monitoring for memory devices

Номер патента: US11977772B2. Автор: Scott E. Schaefer,Aaron P. Boehm,Mark D. INGRAM,Todd Jackson Plum,Scott D. VAN DE GRAAFF. Владелец: Micron Technology Inc. Дата публикации: 2024-05-07.

Device for monitoring for bearing capacity failure and system

Номер патента: US11981550B2. Автор: Martin Mayer,Christian Lutzeyer,Marc Petrescu,Holger Schlechter. Владелец: PUTZMEISTER ENGINEERING GMBH. Дата публикации: 2024-05-14.

Real-time image transformation monitoring for display systems

Номер патента: EP4239625A1. Автор: Timothy M. Niedermeyer,Carmen K. Tseng,Steven C. Stowe. Владелец: ROCKWELL COLLINS INC. Дата публикации: 2023-09-06.

Computer device for monitoring for fraudulent activity

Номер патента: WO2018017014A1. Автор: Frederic Fortin,Vijin Venugopalan,Rajat Maheshwari. Владелец: MASTERCARD ASIA/PACIFIC PTE LTD. Дата публикации: 2018-01-25.

System and method for multi-track environmental fault monitoring for aerial platforms

Номер патента: US20230358895A1. Автор: Joseph Chang,Shuwu Wu,Andrew J. Thomas,Matt Keti. Владелец: Raytheon Co. Дата публикации: 2023-11-09.

Performance monitoring for a memory system

Номер патента: WO2023212433A1. Автор: David Andrew Roberts. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2023-11-02.

Remote monitor for wild animal trap

Номер патента: US20200288698A1. Автор: Yongxiang JIANG. Владелец: Individual. Дата публикации: 2020-09-17.

On board monitor for endoscope reprocessor

Номер патента: EP1725161A2. Автор: Paul T. Feld,Michael P. Petersen. Владелец: Minntech Corp. Дата публикации: 2006-11-29.

On board monitor for endoscope reprocessor

Номер патента: WO2005093541A2. Автор: Paul T. Feld,Michael P. Petersen. Владелец: Minntech Corporation. Дата публикации: 2005-10-06.

Indoor air quality monitors for commercial hvac systems

Номер патента: CA3199629A1. Автор: Sanjeev Hingorani,Rajesh Alayil,Henry Todd GREIST,Thomas John Wolowicz. Владелец: Lennox Industries Inc. Дата публикации: 2023-11-30.

Monitoring for the presence of a flame in a burner

Номер патента: US6024561A. Автор: Brendan Kemp,Paul James Nichols. Владелец: Autoflame Engineering Ltd. Дата публикации: 2000-02-15.

Monitor for bus destination signs

Номер патента: CA1155571A. Автор: Thomas A. Devlin. Владелец: VULTRON Inc. Дата публикации: 1983-10-18.

Optical disk transmission monitor for deposited films

Номер патента: US4676883A. Автор: Roger E. Nelson,Clyde L. Lucky. Владелец: Sierracin Corp. Дата публикации: 1987-06-30.

Magnetic monitor for measuring toner concentration

Номер патента: US5187522A. Автор: William A. Resch, III. Владелец: Eastman Kodak Co. Дата публикации: 1993-02-16.

Monitor for hydraulic transmission

Номер патента: CA1196073A. Автор: Patrick E. Pinkston,Stephen P. Lang,Yolanda E. Martin,Kanaparty N. Rao. Владелец: Deere and Co. Дата публикации: 1985-10-29.

Electrically operated line monitor for tennis

Номер патента: CA1168667A. Автор: William C. Carlton,Margaret P. England. Владелец: Individual. Дата публикации: 1984-06-05.

Electrode impedance monitor for electrocardiography

Номер патента: CA1157530A. Автор: David B. Francis. Владелец: International Business Machines Corp. Дата публикации: 1983-11-22.

Monitor for liquid level and urine flow

Номер патента: CA1269007A. Автор: Joseph W. Rovan,Stephen A. Walker,Virgil R. Laul. Владелец: BIO-FLOW Inc. Дата публикации: 1990-05-15.

Three-dimensional strain monitor for rock boreholes

Номер патента: CA2060582C. Автор: Gerhard H. Herget. Владелец: Canada Minister of Natural Resources. Дата публикации: 1996-02-20.

Mechanical seal flush agitator and wear monitor for mechanical seals

Номер патента: CA1257885A. Автор: Richard E. White. Владелец: Garlock Inc. Дата публикации: 1989-07-25.

A position detecting system that self-monitors for connectivity faults

Номер патента: CA2633511C. Автор: Charles Eric Covington,Grant Stewart Puckett. Владелец: BELL HELICOPTER TEXTRON INC. Дата публикации: 2014-04-22.

Current monitor for vehicle indicator lights

Номер патента: GB2215924A. Автор: David Anthony Ryder. Владелец: Individual. Дата публикации: 1989-09-27.

Monitor for uncommanded braking

Номер патента: CA2199678C. Автор: Raymond Kwong,Bijan Salamat,Robert D. Cook. Владелец: Crane Co. Дата публикации: 2003-05-27.

Monitor for video games with built-in monitor

Номер патента: CA1302571C. Автор: Meoni Luciano. Владелец: Hantarex Spa. Дата публикации: 1992-06-02.

Shaft torsional vibration monitor for a multi-mass rotary shaft system

Номер патента: CA1319993C. Автор: Yasuomi Yagi. Владелец: HITACHI LTD. Дата публикации: 1993-07-06.

Monitor for determining an unknown property of a gas or vapor sample

Номер патента: CA1205916A. Автор: Paul J. Kuchar,Robert W. Sampson,Ronald F. Pacanowski. Владелец: UOP LLC. Дата публикации: 1986-06-10.

Monitor for uncommanded braking

Номер патента: CA2199678A1. Автор: Raymond Kwong,Bijan Salamat,Robert D. Cook. Владелец: Crane Co. Дата публикации: 1997-09-12.

Method and system of integrity monitoring for visual odometry

Номер патента: US11899469B2. Автор: Xiao Cao,Vibhor L Bageshwar,Yawei Zhai. Владелец: Honeywell International Inc. Дата публикации: 2024-02-13.

Inferred maximum temperature monitoring for irrigated ablation therapy

Номер патента: US11918277B2. Автор: Michael G. Curley. Владелец: Thermedical Inc. Дата публикации: 2024-03-05.

Smart joint monitor for bleeding disorder patients

Номер патента: US20220133218A1. Автор: Tathagato Bose,Sourav Bhattacharjee,Anjali Kulkarni. Владелец: International Business Machines Corp. Дата публикации: 2022-05-05.

Monitor for diffusiophoretic water filtration device and related method

Номер патента: US20200188856A1. Автор: William C. GEHRIS. Владелец: Split Rock Filter Systems LLC. Дата публикации: 2020-06-18.

Lateral-bin monitoring for radar target detection

Номер патента: US11802961B2. Автор: Yang Wang,Yu Wang. Владелец: Aptiv Technologies Ltd. Дата публикации: 2023-10-31.

Monitor for vehicles

Номер патента: US20090008526A1. Автор: Bu Geun Yeo. Владелец: Hyundai Motor Co. Дата публикации: 2009-01-08.

Persistent health monitoring for volatile memory systems

Номер патента: WO2020247183A1. Автор: Debra M. Bell,Aaron P. Boehm,Kristen M. HOPPER,Erika PROSSER. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-12-10.

Insulation resistance monitoring for vehicles with high-voltage power net

Номер патента: US20130106437A1. Автор: Albert Trenchs Magana,Antoni Ferre Fabregas,Marc Deumal Herraiz. Владелец: Lear Corp. Дата публикации: 2013-05-02.

Inferred maximum temperature monitoring for irrigated ablation therapy

Номер патента: EP3826562A1. Автор: Michael G. Curley. Владелец: Thermedical Inc. Дата публикации: 2021-06-02.

Attachable monitor for auto-injector devices

Номер патента: WO2024050134A1. Автор: Robert Louis BRADDELEY. Владелец: Reciprocal Labs Corporation (Dba Propeller Health). Дата публикации: 2024-03-07.

Life expectancy monitoring for memory devices

Номер патента: US11947806B2. Автор: Scott E. Schaefer,Aaron P. Boehm,Mark D. INGRAM,Scott D. VAN DE GRAAFF,Todd J. Plum. Владелец: Micron Technology Inc. Дата публикации: 2024-04-02.

Integrity event monitoring for ai/ml based positioning

Номер патента: WO2024074363A1. Автор: Zhan Zhang,Yufei Blankenship. Владелец: Telefonaktiebolaget lM Ericsson (publ). Дата публикации: 2024-04-11.

Personal environmental monitoring method and system and portable monitor for use therein

Номер патента: WO2010113650A1. Автор: Deepak Ayyagari,Yongji Fu,Nhedti Colquitt. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2010-10-07.

Inferred maximum temperature monitoring for irrigated ablation therapy

Номер патента: AU2019308173A1. Автор: Michael G. Curley. Владелец: Thermedical Inc. Дата публикации: 2020-11-19.

Method and system of integrity monitoring for visual odometry

Номер патента: EP4160349A1. Автор: Xiao Cao,Vibhor L. Bageshwar,Yawei Zhai. Владелец: Honeywell International Inc. Дата публикации: 2023-04-05.

Lateral-bin monitoring for radar target detection

Номер патента: US20210263145A1. Автор: Yang Wang,Yu Wang. Владелец: Aptiv Technologies Ltd. Дата публикации: 2021-08-26.

Life expectancy monitoring for memory devices

Номер патента: WO2022098515A1. Автор: Scott E. Schaefer,Aaron P. Boehm,Mark D. INGRAM,Todd Jackson Plum,Scott E. VAN DE GRAAFF. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2022-05-12.

Persistent health monitoring for volatile memory systems

Номер патента: EP3980893A1. Автор: Debra M. Bell,Aaron P. Boehm,Kristen M. HOPPER,Erika PROSSER. Владелец: Micron Technology Inc. Дата публикации: 2022-04-13.

Relay monitoring for electrical systems

Номер патента: US11994560B1. Автор: Muhammad Mobeen MAHMOOD. Владелец: Rivian IP Holdings LLC. Дата публикации: 2024-05-28.

Monitors for pressurized systems

Номер патента: EP4359745A1. Автор: Jude Lee,Gerardo Gonzalez Huerta. Владелец: Everactive Inc. Дата публикации: 2024-05-01.

Traffic processing monitoring method

Номер патента: US12075278B2. Автор: Dong Jin Lee. Владелец: SK TELECOM CO LTD. Дата публикации: 2024-08-27.

Output stage circuit for gate driving circuit in LCD

Номер патента: US09524691B2. Автор: Chao-Chih Hsiao,Po-Ching Li. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2016-12-20.

Pipeline power gating for gates with multiple destinations

Номер патента: US20130009693A1. Автор: Daniel W. Bailey. Владелец: Individual. Дата публикации: 2013-01-10.

Integrated circuit for gate overvoltage protection of power devices

Номер патента: US20240223178A1. Автор: Zhikai Tang,Edward Lee,Jianjun Cao. Владелец: Efficient Power Conversion Corp. Дата публикации: 2024-07-04.

Integrated circuit for gate overvoltage protection of power devices

Номер патента: WO2024145413A1. Автор: Zhikai Tang,Edward Lee,Jianjun Cao. Владелец: Efficient Power Conversion Corporation. Дата публикации: 2024-07-04.

Method for gating clock signals using late arriving enable signals

Номер патента: US09672305B1. Автор: Rohit Kumar,Suparn Vats,Daniel J. Flees. Владелец: Apple Inc. Дата публикации: 2017-06-06.

Pull up and pulldown stabiliser circuits and methods for gate drivers

Номер патента: US20230299765A1. Автор: Pascal Kamel Abouda,Badr GUENDOUZ,Nicolas Roger Michel Claude BAPTISTAT. Владелец: NXP USA Inc. Дата публикации: 2023-09-21.

Pull up and pulldown stabiliser circuits and methods for gate drivers

Номер патента: US12081205B2. Автор: Pascal Kamel Abouda,Badr GUENDOUZ,Nicolas Roger Michel Claude BAPTISTAT. Владелец: NXP USA Inc. Дата публикации: 2024-09-03.

Waveform conversion circuit for gate-driving circuit

Номер патента: US20210226621A1. Автор: Po-Chin Chuang. Владелец: Delta Electronics Inc. Дата публикации: 2021-07-22.

Output Stage Circuit for Gate Driving Circuit in LCD

Номер патента: US20150287377A1. Автор: Chao-Chih Hsiao,Po-Ching Li. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2015-10-08.

Traffic processing monitoring method

Номер патента: EP3852425A1. Автор: Dong Jin Lee. Владелец: SK TELECOM CO LTD. Дата публикации: 2021-07-21.

Output Stage Circuit for Gate Driving Circuit in LCD

Номер патента: US20130234626A1. Автор: Chao-Chih Hsiao,Po-Ching Li. Владелец: NOVATEK MICROELECTRONICS CORP. Дата публикации: 2013-09-12.

Display panel and control method for gate signal thereof

Номер патента: EP3657492A1. Автор: Xianming Zhang. Владелец: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2020-05-27.

Pull up and pulldown stabiliser circuits and methods for gate drivers

Номер патента: EP4246808A1. Автор: Pascal Kamel Abouda,Badr GUENDOUZ,Nicolas Roger Michel Claude BAPTISTAT. Владелец: NXP USA Inc. Дата публикации: 2023-09-20.

Radio communication system for gated transmission of a control channel

Номер патента: EP1378143A1. Автор: Matthew P. J. Baker,Timothy J. Moulsley. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2004-01-07.

Systems and methods for gate-based vehicle image capture

Номер патента: US11949999B2. Автор: Vinay Kumar,Kenneth J. Sanchez,Theobolt N. Leung,Holger Struppek,Scott Howard,John Minichiello. Владелец: BlueOwl LLC. Дата публикации: 2024-04-02.

Gate device, control method for gate device, and storage medium

Номер патента: EP4109873A1. Автор: Yoshitaka Yoshimura,Fumi IRIE. Владелец: NEC Corp. Дата публикации: 2022-12-28.

Three-dimensional memory device having source-select-gate cut structures and methods for forming the same

Номер патента: US12048149B2. Автор: Zhong Zhang. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-07-23.

Information-processing device, information-processing monitoring method, and recording medium

Номер патента: US20170220795A1. Автор: Junko SUGINAKA. Владелец: Individual. Дата публикации: 2017-08-03.

Information processing apparatus, information processing monitoring method, program, and recording medium

Номер патента: JP6370098B2. Автор: 杉中順子. Владелец: 杉中 順子. Дата публикации: 2018-08-08.

High speed flip-flop for gate array

Номер патента: US5612632A. Автор: Robert C. Martin,Clive Bittlestone,Kevin Ovens,Shivaling Mahant-Shetti,Robert J. Landers. Владелец: Texas Instruments Inc. Дата публикации: 1997-03-18.

Information-processing device, information-processing monitoring method, program, and recording medium

Номер патента: EP3144813A1. Автор: Junko SUGINAKA. Владелец: Junko SUGINAKA. Дата публикации: 2017-03-22.

Power supply for gate driver in switched-capacitor circuit

Номер патента: US20240039401A1. Автор: Gregory Szczeszynski,David Giuliano,Raymond Barrett, Jr.. Владелец: PSemi Corp. Дата публикации: 2024-02-01.

Switching slew rate control for gate drivers

Номер патента: US11817784B2. Автор: Karel Ptacek,Roman Stuler,Roman RADVAN. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2023-11-14.

Power supply for gate driver in switched-capacitor circuit

Номер патента: US20230283176A1. Автор: Gregory Szczeszynski,David Giuliano,Raymond Barrett, Jr.. Владелец: PSemi Corp. Дата публикации: 2023-09-07.

Power supply for gate driver in switched-capacitor circuit

Номер патента: US20240120835A1. Автор: Gregory Szczeszynski,David Giuliano,Raymond Barrett, Jr.. Владелец: PSemi Corp. Дата публикации: 2024-04-11.

Power supply for gate driver in switched-capacitor circuit

Номер патента: US11901818B1. Автор: Gregory Szczeszynski,David Giuliano,Raymond Barrett, Jr.. Владелец: PSemi Corp. Дата публикации: 2024-02-13.

Power supply for gate driver in switched-capacitor circuit

Номер патента: US11837954B2. Автор: Gregory Szczeszynski,David Giuliano,Raymond Barrett, Jr.. Владелец: PSemi Corp. Дата публикации: 2023-12-05.

Switching slew rate control for gate drivers

Номер патента: US20230223850A1. Автор: Karel Ptacek,Roman Stuler,Roman RADVAN. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2023-07-13.

Systems and methods for gated in-game access based on collections of unique digital articles

Номер патента: US12083437B2. Автор: Rudy Koch. Владелец: Mythical Inc. Дата публикации: 2024-09-10.

Dip injection for gate drivers

Номер патента: US20240356541A1. Автор: Daisuke Kobayashi. Владелец: Renesas Electronics Corp. Дата публикации: 2024-10-24.

Ml for process monitoring

Номер патента: US20210224670A1. Автор: Lukasz Gosik. Владелец: HEXAGON TECHNOLOGY CENTER GMBH. Дата публикации: 2021-07-22.

Controller and process monitoring method including monitoring of process execution sequence

Номер патента: US09690269B2. Автор: Makoto HIGASHI. Владелец: AutoNetworks Technologies Ltd. Дата публикации: 2017-06-27.

Apparatus, system and method of process monitoring and control in an additive manufacturing environment

Номер патента: US12042995B2. Автор: Erik Gjovik,William MacNeish. Владелец: Jabil Inc. Дата публикации: 2024-07-23.

Apparatus, system and method of process monitoring and control in an additive manufacturing environment

Номер патента: US12049044B2. Автор: Erik Gjovik,William MacNeish. Владелец: Jabil Inc. Дата публикации: 2024-07-30.

System and method for business process monitoring

Номер патента: US20200110612A1. Автор: Ravi RAMCHANDRAN. Владелец: Walmart Apollo LLC. Дата публикации: 2020-04-09.

Memory scan-based process monitoring

Номер патента: US12111937B2. Автор: Geoffrey Ndu,Nigel John Edwards. Владелец: HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP. Дата публикации: 2024-10-08.

Process monitoring system

Номер патента: GB9801065D0. Автор: . Владелец: Interactuality Ltd. Дата публикации: 1998-03-18.

Controller and process monitoring method

Номер патента: US20150134083A1. Автор: Makoto HIGASHI. Владелец: AutoNetworks Technologies Ltd. Дата публикации: 2015-05-14.

Real time polishing process monitoring

Номер патента: EP1773541A1. Автор: Jian c/o Legal Department ZHANG,Ian c/o Legal Department WYLIE. Владелец: Cabot Microelectronics Corp. Дата публикации: 2007-04-18.

Automated image-based process monitoring and control

Номер патента: US09569834B2. Автор: Mohan Mahadevan,Tommaso Torelli,Shabnam Ghadar,Himanshu Vajaria,Bradley Ries,Stilian PANDEV. Владелец: KLA Tencor Corp. Дата публикации: 2017-02-14.

Method for process monitoring with optical inspections

Номер патента: US20210035282A1. Автор: Martin Plihal,Saravanan Paramasivam,Prasanti Uppaluri. Владелец: KLA Corp. Дата публикации: 2021-02-04.

Method and system for analyzing process monitoring data

Номер патента: US09582870B2. Автор: Tal VAGMAN,Jordi Edo Abella. Владелец: Hexagon Metrology Israel Ltd. Дата публикации: 2017-02-28.

Laser processing monitoring device, laser processing monitoring method, and laser processing device

Номер патента: EP4116027A4. Автор: Atsushi Yanase,Yusuke Nishizaki. Владелец: Amada Weld Tech Co Ltd. Дата публикации: 2023-09-06.

Process monitoring

Номер патента: EP4328685A1. Автор: Martin GADSBY,Jonique SAMUELS. Владелец: Optimal Industrial Technologies Ltd. Дата публикации: 2024-02-28.

Process tree-based process monitoring in endpoints

Номер патента: US20230325228A1. Автор: Abhishek Singh,Vinothkumar D,Akansha Srivastava,Venkata Padma Kaki,Atreyee BHADURI. Владелец: VMware LLC. Дата публикации: 2023-10-12.

Process monitoring

Номер патента: WO2024042088A1. Автор: Martin GADSBY,Jonique SAMUELS. Владелец: Optimal Industrial Technologies Limited. Дата публикации: 2024-02-29.

Real time machining process monitoring utilizing preprocess simulation

Номер патента: EP3411762A1. Автор: Zhigang Wang,Shogo Nakashima,Mark W. Larson. Владелец: Makino Inc. Дата публикации: 2018-12-12.

Real time machining process monitoring utilizing preprocess simulation

Номер патента: WO2017136645A1. Автор: Zhigang Wang,Shogo Nakashima,Mark W. Larson. Владелец: Makino Inc.. Дата публикации: 2017-08-10.

Process monitoring for ferroelectric memory devices with in-line retention test

Номер патента: US20060146588A1. Автор: Richard Bailey,John Rodriguez. Владелец: Texas Instruments Inc. Дата публикации: 2006-07-06.

Laser processing device with a monitoring device, and laser processing monitoring method

Номер патента: EP4116027B1. Автор: Atsushi Yanase,Yusuke Nishizaki. Владелец: Amada Weld Tech Co Ltd. Дата публикации: 2024-08-14.

Process monitoring device

Номер патента: EP3551237A1. Автор: G. Marco Bommarito,Timothy J. Nies,Christopher J. Claypool. Владелец: 3M Innovative Properties Co. Дата публикации: 2019-10-16.

Connection apparatus and method for process monitoring

Номер патента: WO2007010492A2. Автор: Chander P. Bhateja,Rajiv K. Bhateja,Anjali K. Bhateja. Владелец: Anr, L.P.. Дата публикации: 2007-01-25.

Connection apparatus and method for process monitoring

Номер патента: WO2007010492A3. Автор: Rajiv K Bhateja,Chander P Bhateja,Anjali K Bhateja. Владелец: Anr L P. Дата публикации: 2009-04-16.

Process monitor for a weld controller

Номер патента: AU742563B2. Автор: Larry A. Dew,Robert M. Slazinski,Paul R Buda,Jonathan H. Bailey. Владелец: Square D Co. Дата публикации: 2002-01-03.

Barrier alignment and process monitor for TIJ printheads

Номер патента: HK92597A. Автор: Scott W Hock. Владелец: Hewlett Packard Co. Дата публикации: 1997-08-01.

Process monitoring technique and related actions

Номер патента: AU2007238723A1. Автор: John R. Parrish,Paul K. Samples,Thomas J. McNeil,Debbie D. Rath. Владелец: Dow Global Technologies LLC. Дата публикации: 2007-10-25.

Process monitoring technique and related actions

Номер патента: CA2648221A1. Автор: John R. Parrish,Paul K. Samples,Thomas J. McNeil,Debbie D. Rath. Владелец: Debbie D. Rath. Дата публикации: 2007-10-25.

Process monitoring technique and related actions

Номер патента: EP2008161A1. Автор: John R. Parrish,Paul K. Samples,Thomas J. McNeil,Debbie D. Rath. Владелец: Dow Global Technologies LLC. Дата публикации: 2008-12-31.

Polyolefin process monitoring and control

Номер патента: US11773198B2. Автор: QING Yang,Paul J. DesLauriers,Eric J. Netemeyer,Jeff S. Fodor. Владелец: Chevron Phillips Chemical Co LP. Дата публикации: 2023-10-03.

Polyolefin process monitoring and control

Номер патента: US20230183402A1. Автор: QING Yang,Paul J. DesLauriers,Eric J. Netemeyer,Jeff S. Fodor. Владелец: Chevron Phillips Chemical Co LP. Дата публикации: 2023-06-15.

Pipe with integrated process monitoring

Номер патента: WO2009111777A3. Автор: Mark R. Fernald. Владелец: CIDRA CORPORATE SERVICES, INC.. Дата публикации: 2010-01-07.

Pipe with integrated process monitoring

Номер патента: US20110036439A1. Автор: Mark R. Fernald. Владелец: Cidra Corporated Services LLC. Дата публикации: 2011-02-17.

Batch process monitoring and alerting based on selection of buffer times

Номер патента: US10678590B1. Автор: Tanu Sharma,Veronica L. Driscoll,Vishesh Sharma. Владелец: Capital One Services LLC. Дата публикации: 2020-06-09.

Additive layer manufacturing apparatus with process monitoring facility

Номер патента: WO2018134555A1. Автор: William Thomas RICHARDSON. Владелец: Reliance Precision Limited. Дата публикации: 2018-07-26.

Apparatus, system and method of process monitoring and control in an additive manufacturing environment

Номер патента: US11969948B2. Автор: Erik Gjovik,William MacNeish. Владелец: Jabil Inc. Дата публикации: 2024-04-30.

Laser process monitoring and evaluation

Номер патента: US5283416A. Автор: Bryan W. Shirk. Владелец: TRW Inc. Дата публикации: 1994-02-01.

Computer-implemented process monitoring method

Номер патента: EP4016376A1. Автор: Gianpiero FRANCESCA. Владелец: Toyota Motor Corp. Дата публикации: 2022-06-22.

Computer-implemental process monitoring method, device, system and recording medium

Номер патента: US20220198802A1. Автор: Gianpiero FRANCESCA. Владелец: Toyota Motor Corp. Дата публикации: 2022-06-23.

Gate device, control method for gate device, and storage medium

Номер патента: EP4108858A1. Автор: Yoshitaka Yoshimura,Fumi IRIE. Владелец: NEC Corp. Дата публикации: 2022-12-28.

Process monitoring and adaptive control of a machine tool

Номер патента: US20180299865A1. Автор: Tennerth HOLMSTRÖM. Владелец: Sandvik Intellectual Property AB. Дата публикации: 2018-10-18.

Process monitoring and adaptive control of a machine tool

Номер патента: WO2017063868A1. Автор: Tennerth HOLMSTRÖM. Владелец: Sandvik Intellectual Property AB. Дата публикации: 2017-04-20.

Analog interface circuits for process controllers and process monitors

Номер патента: AU2241595A. Автор: James G CALVIN,David C Littlejohn,Kevin V Roach. Владелец: Interactive Process Controls Corp. Дата публикации: 1995-10-30.

Process monitoring system

Номер патента: EP2260440A1. Автор: Basim Majeed,Jesus Jimenez Godino,Felix Sanchez Garcia. Владелец: British Telecommunications plc. Дата публикации: 2010-12-15.

Process monitoring system

Номер патента: WO2009122156A1. Автор: Basim Majeed,Jesus Jimenez Godino,Felix Sanchez Garcia. Владелец: BRITISH TELECOMMUNICATIONS PUBLIC LIMITED COMPANY. Дата публикации: 2009-10-08.

Process monitoring method and apparatus

Номер патента: US11972116B2. Автор: Shengqiang Huang,Jinfeng Yuan,Yongcun Gan. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-04-30.

Automated gate cutting system

Номер патента: US20180304350A1. Автор: Donald Johnson,Theodore Chad Harbour,Timothy P. Hipp,Kelby Wayne Klink. Владелец: Mueller International LLC. Дата публикации: 2018-10-25.

Gate apparatus, control method for gate apparatus, program, and gate system

Номер патента: US20240273987A1. Автор: Muneharu YAJIMA. Владелец: Fast Retailing Co Ltd. Дата публикации: 2024-08-15.

Injection-molding gate cutting device and gate cutting method

Номер патента: US20240066775A1. Автор: Sang Min Lee,Jong Dae BACK. Владелец: Hyundai Mobis Co Ltd. Дата публикации: 2024-02-29.

Gate driving device and operating method for gate driving device

Номер патента: US20240054937A1. Автор: Yen-Hua Lin,Han-Shui HSUEH,Chuan-Chien Hsu,Wei-Hong Du. Владелец: Himax Technologies Ltd. Дата публикации: 2024-02-15.

Process Monitor for Laser Processing Head

Номер патента: US20240091883A1. Автор: Danny Chan. Владелец: II VI Delaware Inc. Дата публикации: 2024-03-21.

Improved process monitor

Номер патента: WO2000003232A1. Автор: Jacques Andre Grange,Mark Burton Holbrook,William George Beckmann. Владелец: Vorgem Limited. Дата публикации: 2000-01-20.

Apparatus for gate valve movement in a minimum-space wet process environment

Номер патента: US8083207B1. Автор: Alan M. Schoepp,Jacob Wylie,Gregory A. Clemmer. Владелец: Lam Research Corp. Дата публикации: 2011-12-27.

Telescoping reach rod for gate and ramp device with lift deck

Номер патента: CA1135300A. Автор: Mack A. Lewis. Владелец: Wilson Trailer Co. Дата публикации: 1982-11-09.

Sensor for gate leakage detection

Номер патента: US20200049757A1. Автор: Stanley Seungchul SONG,Hyunwoo Park,Youn Sung Choi. Владелец: Qualcomm Inc. Дата публикации: 2020-02-13.

Systems and methods for gate valves

Номер патента: US20230213101A1. Автор: Sidney MCCARRA. Владелец: SCV Valve LLC. Дата публикации: 2023-07-06.

Process Monitoring System and Method for Operating the Process Monitoring System

Номер патента: US20220075339A1. Автор: Lutz Benjamin. Владелец: . Дата публикации: 2022-03-10.

METHOD OF MONITORING A MANUFACTURING-PROCESS AND MANUFACTURING-PROCESS MONITORING DEVICE

Номер патента: US20140209782A1. Автор: Jung Young-Jae. Владелец: Samsung Display Co., Ltd.. Дата публикации: 2014-07-31.

PROCESS MONITORING DEVICE, PROCESS MONITORING METHOD, AND PROGRAM

Номер патента: US20210325842A1. Автор: HIRAOKA Yukio,YAMANAKA Osamu. Владелец: . Дата публикации: 2021-10-21.

Method and system for real-time statistical process monitoring

Номер патента: US5311759A. Автор: Thomas A. Spoto,II James S. Rankin,Suresh M. Mangrulkar. Владелец: Ford Motor Co. Дата публикации: 1994-05-17.

Analog interface circuits for process controllers and process monitors

Номер патента: US5416480A. Автор: Kevin V. Roach,James G. Calvin,David C. Littlejohn. Владелец: Interactive Process Controls Corp. Дата публикации: 1995-05-16.

Authentication method and apparatus for gate entrance

Номер патента: US20240013597A1. Автор: Un Sung Nam. Владелец: Cubox Co Ltd. Дата публикации: 2024-01-11.

Real time machining process monitoring utilizing preprocess simulation

Номер патента: US20170227945A1. Автор: Zhigang Wang,Shogo Nakashima,Mark W. Larson. Владелец: Makino Inc. Дата публикации: 2017-08-10.

All voltage, temperature and process monitor circuit for memories

Номер патента: US20160093399A1. Автор: Yifei Zhang,Carl Monzel,Saket Gupta,Mark Jon WINTER. Владелец: Broadcom Corp. Дата публикации: 2016-03-31.

Authentication method and system for gate access

Номер патента: EP4207113A1. Автор: Un Sung Nam. Владелец: Cubox Co Ltd. Дата публикации: 2023-07-05.

Process monitoring

Номер патента: WO2023118844A1. Автор: Philip Brian Harper. Владелец: Tribosonics Limited. Дата публикации: 2023-06-29.

Process monitoring

Номер патента: GB2614291A. Автор: Brian Harper Philip. Владелец: Tribosonics Ltd. Дата публикации: 2023-07-05.

Authentication method and apparatus for gate entrance

Номер патента: EP4207112A1. Автор: Un Sung Nam. Владелец: Cubox Co Ltd. Дата публикации: 2023-07-05.

Thermal imaging for semiconductor process monitoring

Номер патента: WO1999028715A9. Автор: Peter A Rosenthal,Jiazhan Xu,Sylvie Charpenay,Joseph E Cosgrove. Владелец: On Line Techn Inc. Дата публикации: 1999-09-16.

Process monitoring system and method

Номер патента: TW201005456A. Автор: Toshihisa Fujii. Владелец: Yamatake Corp. Дата публикации: 2010-02-01.

Real time polishing process monitoring

Номер патента: TWI290083B. Автор: Jian Zhang,Ian W Wylie. Владелец: Cabot Microelectronics Corp. Дата публикации: 2007-11-21.

Thermal imaging for semiconductor process monitoring

Номер патента: AU1612899A. Автор: Jiazhan Xu,Peter A. Rosenthal,Joseph E. Cosgrove,Sylvie Charpenay. Владелец: On Line Technologies Inc. Дата публикации: 1999-06-16.

Additive layer manufacturing apparatus with process monitoring facility

Номер патента: GB201701088D0. Автор: . Владелец: Reliance Precision Ltd. Дата публикации: 2017-03-08.

Single camera-based process monitoring in fdm 3d printer

Номер патента: US20230256680A1. Автор: Marc Andre De Samber,Harry Broers. Владелец: Signify Holding BV. Дата публикации: 2023-08-17.

Plasma detection tracers for process monitoring

Номер патента: US20170197398A1. Автор: Jonathan K. Garhart. Владелец: Sikorsky Aircraft Corp. Дата публикации: 2017-07-13.

Real time polishing process monitoring

Номер патента: TW200615085A. Автор: Jian Zhang,Ian W Wylie. Владелец: Cabot Microelectronics Corp. Дата публикации: 2006-05-16.

System and method for process monitoring

Номер патента: EP2097794B1. Автор: Sanjay Mehta,Ali Esmaili,Debashis Neogi,Carlos A. Valenzuela. Владелец: Air Products and Chemicals Inc. Дата публикации: 2013-07-31.

Additive manufacturing process monitoring and calibration

Номер патента: US20240269746A1. Автор: Andrew Volk,Anil Chaudhary,Brandon Baucher,Ethan Van Tilberg,Alex Istrate. Владелец: Applied Optimization Inc. Дата публикации: 2024-08-15.

Application program process monitoring method

Номер патента: TW469371B. Автор: Kuang-Shin Lin,Tong S Chen,Owen Zhao. Владелец: Inventec Corp. Дата публикации: 2001-12-21.

Apparatus, System and Method of Process Monitoring and Control in an Additive Manufacturing Environment

Номер патента: IL273795B1. Автор: . Владелец: Jabil Inc. Дата публикации: 2024-10-01.

Plasma detection tracers for process monitoring

Номер патента: US09944061B2. Автор: Jonathan K. Garhart. Владелец: Sikorsky Aircraft Corp. Дата публикации: 2018-04-17.

Process monitoring

Номер патента: GB2584595A. Автор: Parfitt Stewart. Владелец: Eaton Intelligent Power Ltd. Дата публикации: 2020-12-16.

Removable operator for gate valves

Номер патента: US4231389A. Автор: Robert C. Still,Barbara J. Smith,Frank J. Huppenthal,Gerald H. Scotney. Владелец: NEW CONCEPTS Inc. Дата публикации: 1980-11-04.

Computer-aided design tool for gate pruning

Номер патента: US11861278B1. Автор: Sasikanth Manipatruni,Rajeev Kumar Dokania,Amrita MATHURIYA,Ikenna Odinaka,Darshak Doshi. Владелец: Kepler Computing Inc. Дата публикации: 2024-01-02.

Process monitoring and feedback for metal additive manufacturing using powder-bed fusion

Номер патента: US11839914B1. Автор: Derek Schmuland,Thomas J Ronacher,Erik Palitsch. Владелец: Freeform Future Corp. Дата публикации: 2023-12-12.

Spatial and temporal convolution networks for system calls based process monitoring

Номер патента: US20190188379A1. Автор: Michael Dymshits,Benjamin Hillel Myara. Владелец: PayPal Inc. Дата публикации: 2019-06-20.

Spatial and temporal convolution networks for system calls based process monitoring

Номер патента: AU2018390542A1. Автор: Michael Dymshits,Benjamin Hillel Myara. Владелец: PayPal Inc. Дата публикации: 2020-07-02.

Spatial and temporal convolution networks for system calls based process monitoring

Номер патента: EP3729314A1. Автор: Michael Dymshits,Benjamin Hillel Myara. Владелец: PayPal Inc. Дата публикации: 2020-10-28.

Spatial and temporal convolution networks for system calls based process monitoring

Номер патента: WO2019126078A1. Автор: Michael Dymshits,Benjamin Hillel Myara. Владелец: Paypal, Inc. Дата публикации: 2019-06-27.

System and methods for gating heart signals

Номер патента: WO2006121537A2. Автор: Tat-Jin Teo,Damon J. Coffman,Arvind Thiagarajan. Владелец: Coffman Damon J. Дата публикации: 2006-11-16.

New locking mechanism for gates and hatches

Номер патента: WO1996015023A1. Автор: Mauno Urpo Olavi Lahtinen,Paavo Juhani HÖLTTÄ. Владелец: Hoelttae Paavo Juhani. Дата публикации: 1996-05-23.

Improved hinge for gates

Номер патента: GB2542415A8. Автор: Garth Naphine Simon. Владелец: Gatemaster Ltd. Дата публикации: 2017-04-05.

System and methods for gating heart signals

Номер патента: EP1865835A2. Автор: Tat-Jin Teo,Damon J. Coffman,Arvind Thiagarajan. Владелец: Individual. Дата публикации: 2007-12-19.

Locking mechanism for gates and hatches

Номер патента: US5875658A. Автор: Mauno Urpo Olavi Lahtinen,Paavo Juhani HÖLTTÄ. Владелец: Individual. Дата публикации: 1999-03-02.

SIDE CHAMBER PROCESS MONITOR FOR ADSORPTIVE SEPARATION PROCESS

Номер патента: US20210255152A1. Автор: Harris James W.,Williams Chad A.,Ernst Gregory A.,Fleitz Heather A.. Владелец: . Дата публикации: 2021-08-19.

Side chamber process monitor for adsorptive separation process

Номер патента: US11249058B2. Автор: James W. Harris,Heather A. Fleitz,Gregory A. Ernst,Chad A. Williams. Владелец: UOP LLC. Дата публикации: 2022-02-15.

Side chamber process monitor for adsorptive separation processes

Номер патента: CN115151520A. Автор: J·W·哈里斯,H·A·弗莱茨,格雷戈里·A·恩斯特,C·A·威廉姆斯. Владелец: UOP LLC. Дата публикации: 2022-10-04.

Side chamber process monitor for adsorptive separation process

Номер патента: WO2021167829A1. Автор: James W. Harris,Heather A. Fleitz,Gregory A. Ernst,Chad A. Williams. Владелец: UOP LLC. Дата публикации: 2021-08-26.

Process monitor for laser and plasma materials processing of materials

Номер патента: US7186947B2. Автор: Kenneth J. Woods,William J. Connally. Владелец: Hypertherm Inc. Дата публикации: 2007-03-06.

Side chamber process monitor for adsorptive separation process

Номер патента: EP4107139A1. Автор: James W. Harris,Heather A. Fleitz,Gregory A. Ernst,Chad A. Williams. Владелец: UOP LLC. Дата публикации: 2022-12-28.

Side chamber process monitor for adsorptive separation process

Номер патента: EP4107139A4. Автор: James W Harris,Chad A Williams,Heather A Fleitz,Gregory A Ernst. Владелец: UOP LLC. Дата публикации: 2024-03-20.

A method for digital process monitoring in a slaughterhouse

Номер патента: WO2023280606A1. Автор: Paul Andreas Holger DIRAC,Dennis Brandborg NIELSEN,Jeppe Bo ANDERSEN. Владелец: Teknologisk Institut. Дата публикации: 2023-01-12.

Digital process monitoring

Номер патента: DK202100752A1. Автор: Andreas Holger Dirac Paul,Brandborg Nielsen Dennis,Bo Andersen Jeppe. Владелец: Teknologisk Inst. Дата публикации: 2022-09-21.

A method for digital process monitoring in a slaughterhouse

Номер патента: EP4367612A1. Автор: Paul Andreas Holger DIRAC,Dennis Brandborg NIELSEN,Jeppe Bo ANDERSEN. Владелец: Teknologisk Institut. Дата публикации: 2024-05-15.

System, gate device, control method for gate device, and storage medium

Номер патента: US20240194013A1. Автор: Hiroshi Osada,Osamu Sakaguchi,Masayoshi Yamaura,Kazuya Kojoh. Владелец: NEC Corp. Дата публикации: 2024-06-13.

Process monitoring system and method

Номер патента: US20100017467A1. Автор: Toshihisa Fujii. Владелец: Azbil Corp. Дата публикации: 2010-01-21.

Stage for gate drive circuit, display device with the stage, and control method for the stage

Номер патента: DE102020132781A1. Автор: Nakwoo KIM,Sanghyun Lim,Donghyang Lee. Владелец: LG Display Co Ltd. Дата публикации: 2021-07-01.

Booster assembly for gate valves

Номер патента: US10969018B2. Автор: Stuart Robinson,Delbert Edwin Vanderford,Joseph A Hedges. Владелец: Cameron International Corp. Дата публикации: 2021-04-06.

Control ports for gate valve structure

Номер патента: US3780982A. Автор: W Kemp. Владелец: ACF Industries Inc. Дата публикации: 1973-12-25.

Gated unit for gated recurrent neural network

Номер патента: GB2615911A. Автор: Sebastian Abu,Le Gallo-Bourdeau Manuel,Stanisavljevic Milos,JOSHI Vinay. Владелец: International Business Machines Corp. Дата публикации: 2023-08-23.

Track bridge for gate valves for a transportation system

Номер патента: WO2021126362A1. Автор: Yuka MATSUYAMA,John SHEW. Владелец: Hyperloop Technologies, Inc.. Дата публикации: 2021-06-24.

Anaerobic Digestion Process Monitoring Device and Method Thereof

Номер патента: US20130157371A1. Автор: Christopher J. FERGUSON,Lawrence D. Gibson. Владелец: Carbon Control Systems Inc. Дата публикации: 2013-06-20.

Additive layer manufacturing apparatus with process monitoring facility

Номер патента: EP3571540A1. Автор: William Thomas RICHARDSON. Владелец: Reliance Precision Ltd. Дата публикации: 2019-11-27.

Gate device, control method for gate device, and storage medium

Номер патента: EP4109418A1. Автор: Yoshitaka Yoshimura,Fumi IRIE. Владелец: NEC Corp. Дата публикации: 2022-12-28.

Industrial process monitoring and imaging

Номер патента: US9829368B2. Автор: Huping Luo,Mahmoud Farhadiroushan,Tom Richard Parker,Daniel FINFER,Roy Kutlik. Владелец: Silixa Ltd. Дата публикации: 2017-11-28.

Whole-process monitoring method and vital sign monitoring equipment

Номер патента: CN110115569B. Автор: 王强,尹士畅. Владелец: Porin Intelligent Technology Beijing Co ltd. Дата публикации: 2022-09-06.

System and method for lithography process monitoring and control

Номер патента: US6884984B2. Автор: Jun Ye,R. Fabian W. Pease,Xun Chen. Владелец: Brion Technologies Inc. Дата публикации: 2005-04-26.

Monitoring system and method for the in-process monitoring of machine-tool components

Номер патента: US20060178771A1. Автор: Werner Kluft. Владелец: Werner Kluft. Дата публикации: 2006-08-10.

Monitoring system and a method for the in-process monitoring of machine-tool components

Номер патента: EP1425640B1. Автор: Werner Kluft. Владелец: Individual. Дата публикации: 2006-11-15.

Processing monitoring data in a monitoring system

Номер патента: EP2541356A1. Автор: Joachim Stahl,John Rehn,Marcus Williamsson. Владелец: AXIS AB. Дата публикации: 2013-01-02.

Supervisory control and data acquisition interface for tank or process monitor

Номер патента: US20030093519A1. Автор: Frank Van Bekkum,Dirk Holcomb,Steven Jackson,Chris Abbott. Владелец: Individual. Дата публикации: 2003-05-15.

Process monitoring for ferroelectric memory devices with in-line retention test

Номер патента: US7149137B2. Автор: John Anthony Rodriguez,Richard Allen Bailey. Владелец: Texas Instruments Inc. Дата публикации: 2006-12-12.

Polyolefin process monitoring and control

Номер патента: CA3137067A1. Автор: QING Yang,Paul J. DesLauriers,Jeffrey S. Fodor,Eric J. Netemeyer. Владелец: Chevron Phillips Chemical Co LP. Дата публикации: 2020-10-22.

Rolling principal component analysis for dynamic process monitoring and end point detection

Номер патента: US20230243699A1. Автор: Lan Sun,Chang Meng HSIUNG,Edward GOODING. Владелец: VIAVI SOLUTIONS INC. Дата публикации: 2023-08-03.

Rolling principal component analysis for dynamic process monitoring and end point detection

Номер патента: US11920980B2. Автор: Lan Sun,Chang Meng HSIUNG,Edward GOODING. Владелец: VIAVI SOLUTIONS INC. Дата публикации: 2024-03-05.

General reinforcement learning framework for process monitoring and anomaly/ fault detection

Номер патента: US20240119342A1. Автор: SHU Xu,Mark J. Nixon. Владелец: Fisher Rosemount Systems Inc. Дата публикации: 2024-04-11.

Systems and methods for real-time data processing, monitoring, and alerting

Номер патента: US20190080328A1. Автор: Georgi D. Gospodinov. Владелец: Walmart Apollo LLC. Дата публикации: 2019-03-14.

Process monitoring and control of filtration by means of filtrate measurements

Номер патента: US11975276B2. Автор: Reinhard Gross,Kathrin Annette Engelhardt. Владелец: Bayer AG. Дата публикации: 2024-05-07.

Rolling principal component analysis for dynamic process monitoring and end point detection

Номер патента: US20240167872A1. Автор: Lan Sun,Chang Meng HSIUNG,Edward GOODING. Владелец: VIAVI SOLUTIONS INC. Дата публикации: 2024-05-23.

Rolling principal component analysis for dynamic process monitoring and end point detection

Номер патента: EP4220132A1. Автор: Lan Sun,Chang Meng HSIUNG,Edward GOODING. Владелец: VIAVI SOLUTIONS INC. Дата публикации: 2023-08-02.

A general reinforcement learning framework for process monitoring and anomaly/ fault detection

Номер патента: WO2024072729A1. Автор: SHU Xu,Mark J. Nixon. Владелец: FISHER-ROSEMOUNT SYSTEMS, INC.. Дата публикации: 2024-04-04.

MICROFLUIDIC PROCESS MONITOR FOR INDUSTRIAL SOLVENT EXTRACTION SYSTEM

Номер патента: US20150090596A1. Автор: PEREIRA Candido,Nichols Kevin Paul Flood,Gelis Artem. Владелец: UCHICAGO ARGONNE, LLC. Дата публикации: 2015-04-02.

PROCESS MONITORING FOR MOBILE LARGE SCALE ADDITIVE MANUFACTURING USING FOIL-BASED BUILD MATERIALS

Номер патента: US20190143451A1. Автор: Redding MacKenzie Ryan,MAMRAK Justin. Владелец: . Дата публикации: 2019-05-16.

PROCESS MONITORING FOR UV CURING

Номер патента: US20170254745A1. Автор: Zueger Othmar. Владелец: . Дата публикации: 2017-09-07.

System and method for lithography process monitoring and control

Номер патента: US6806456B1. Автор: Jun Ye,R. Fabian W. Pease,Xun Chen. Владелец: Brion Technologies Inc. Дата публикации: 2004-10-19.

Method and system for real-time statistical process monitoring of stamping press process

Номер патента: CA2168973A1. Автор: Suresh Moreshwar Mangrulkar. Владелец: Individual. Дата публикации: 1995-03-09.

Glass cover polishing process monitoring method based on image processing

Номер патента: CN114581444A. Автор: 张滔,邹维,钟永波. Владелец: Jining Haifu Optical Technology Co ltd. Дата публикации: 2022-06-03.

Golden hydrometallurgy whole process three-decker process monitoring method

Номер патента: CN104298187B. Автор: 冯倩,谭帅,王姝,王福利,常玉清. Владелец: Northeastern University China. Дата публикации: 2017-03-29.

System and method for lithography process monitoring and control

Номер патента: US6969837B2. Автор: Jun Ye,R. Fabian W. Pease,Xun Chen. Владелец: Brion Technologies Inc. Дата публикации: 2005-11-29.

Process monitoring process for die casting or thixoforming of metals

Номер патента: DE59907298D1. Автор: Miroslaw Plata,Gregoire Arnold,Christoph Bagnoud. Владелец: Alcan Technology and Management Ltd. Дата публикации: 2003-11-13.

Process monitor processing method of sequencer

Номер патента: JPS61183712A. Автор: Kuniyuki Kikuchi,菊地 邦行. Владелец: HITACHI LTD. Дата публикации: 1986-08-16.

Process monitoring, control and regulation processes

Номер патента: DE19816273A1. Автор: . Владелец: Micro Epsilon Messtechnik GmbH and Co KG. Дата публикации: 1999-01-07.

Device for process monitoring during laser processing

Номер патента: DE102016109909A1. Автор: Rüdiger Moser. Владелец: Precitec GmbH and Co KG. Дата публикации: 2017-11-30.

Actuating mechanism for gate valve of injection nozzle

Номер патента: US4268240A. Автор: Robert D. Schad,Herbert Rees. Владелец: HUSKY INJECTION MOLDING SYSTEMS LTD. Дата публикации: 1981-05-19.

Laser processing monitor and laser processing device

Номер патента: WO2015163141A1. Автор: 正樹 渡邉,松本 聡,丈典 大宮,伊藤 守行. Владелец: 浜松ホトニクス株式会社. Дата публикации: 2015-10-29.

Process monitoring for high-speed joining

Номер патента: CN102666004B. Автор: T·德拉特,A·科拉克. Владелец: Boellhoff Verbindungstechnik GmbH. Дата публикации: 2014-09-17.

A kind of batch process monitoring data processing method

Номер патента: CN107798353A. Автор: 张娜,王佳琦,郭润夏. Владелец: Civil Aviation University of China. Дата публикации: 2018-03-13.

Data processing system for process monitoring

Номер патента: CN115408160B. Автор: 郭小平. Владелец: Hunan Sinoevin Optoelectronic Technology Co ltd. Дата публикации: 2023-05-05.

Pivot pin for gate

Номер патента: GB2188092A. Автор: John Winkie. Владелец: FRANKLIN MACHINERY Ltd. Дата публикации: 1987-09-23.

Bench mixer whipping process monitor

Номер патента: AU2017344051B2. Автор: Mark Thomas,Lochana Subasekara Widanagamage Don. Владелец: Breville R&D Pty Ltd. Дата публикации: 2023-11-16.

Anaerobic digestion process monitoring device and method thereof

Номер патента: EP2553084A1. Автор: Christopher J. FERGUSON,Lawrence D. Gibson. Владелец: Carbon Control Systems Inc. Дата публикации: 2013-02-06.

Anaerobic digestion process monitoring device and method thereof

Номер патента: WO2011120149A1. Автор: Christopher J. FERGUSON,Lawrence D. Gibson. Владелец: Carbon Control Systems Inc.. Дата публикации: 2011-10-06.

Bi-directional sensing edge for gate

Номер патента: EP1861838A2. Автор: Bearge D. Miller. Владелец: Miller Edge Inc. Дата публикации: 2007-12-05.

Membered fiftable gate for mounting just beneath a ceiling in particular for gate openings of low height

Номер патента: PL328813A1. Автор: Thomas J Hoermann. Владелец: Hoermann Kg. Дата публикации: 1999-02-15.

Method and apparatus for gating a global column select line with address transition detection

Номер патента: US20020101766A1. Автор: Robert Baltar,Ritesh Trivedi. Владелец: Intel Corp. Дата публикации: 2002-08-01.

Combined light barrier and light barrier holder for gates

Номер патента: CA120067S. Автор: . Владелец: Marantec Antriebs und Steuerungstechnik GmbH and Co KG. Дата публикации: 2008-06-19.

Improvements in Fastenings or Catches for Gates, Doors, or the like.

Номер патента: GB189705019A. Автор: Mary Jane Eckley. Владелец: Individual. Дата публикации: 1897-03-27.

Improvements in Closing Springs for Gates

Номер патента: GB190723516A. Автор: Alfred Charles Wigley,James Jessie Rowe. Владелец: Individual. Дата публикации: 1908-01-09.

Actuator for gates with gripping and lifting parts

Номер патента: SG151221A1. Автор: Maria Riccardo De. Владелец: FAAC SpA. Дата публикации: 2009-04-30.

A Combined Tenon and Bracket Joint for Gates, Railings and the like.

Номер патента: GB190604086A. Автор: George Frederick Hirst. Владелец: Individual. Дата публикации: 1906-11-15.

Improvements in the Construction of Chain Netting for Gates and other purposes.

Номер патента: GB189800272A. Автор: Horace William Bayliss. Владелец: Individual. Дата публикации: 1898-11-05.

Latch for gates and doors

Номер патента: CA108098S. Автор: . Владелец: D&D Group Pty Ltd. Дата публикации: 2006-01-27.

Advertising sleeve for gate arm

Номер патента: CA119327S. Автор: . Владелец: ABCON 2001 Inc. Дата публикации: 2008-04-17.

Actuator for gates with gripping and lifting parts

Номер патента: MY148035A. Автор: De Maria Riccardo. Владелец: FAAC SpA. Дата публикации: 2013-02-28.

Monitor for medical implant

Номер патента: CA150645S. Автор: . Владелец: MEDTRONIC INC. Дата публикации: 2014-06-17.

Payment terminal with monitors for advertising purpose

Номер патента: CA130842S. Автор: . Владелец: POINTPAY INTERNATIONAL Inc. Дата публикации: 2010-06-07.

System, method and odometer monitor for detecting connectivity status of mobile data terminal to vehicle

Номер патента: CA2864918C. Автор: Michael Scott. Владелец: Webtech Wireless Inc. Дата публикации: 2018-07-24.

A compliance monitor for a medicament inhaler

Номер патента: AU367350S. Автор: . Владелец: Adherium Nz Ltd. Дата публикации: 2016-02-29.

A Compliance Monitor for a Medicament Inhaler

Номер патента: AU201612509S. Автор: . Владелец: Adherium Nz Ltd. Дата публикации: 2016-06-03.

Date processing method and system for improved business process monitoring

Номер патента: GB202308499D0. Автор: . Владелец: Coliance Ltd. Дата публикации: 2023-07-19.

Latch, Lock, or Fastening for Gates, Doors, and the like

Номер патента: GB190110937A. Автор: John Worthington. Владелец: Individual. Дата публикации: 1902-01-23.

Gear box for gate closure device

Номер патента: MY119157A. Автор: Yeong Wong Song. Владелец: Radion Trading Sdn Bhd. Дата публикации: 2005-04-30.

Improvements in or appertaining to Hangers for Gates and the like.

Номер патента: GB190602948A. Автор: Henry Brook. Владелец: Individual. Дата публикации: 1906-11-29.

Improvements in and relating to Fasteners for Gates and the like.

Номер патента: GB190819869A. Автор: Edgar Williams. Владелец: Individual. Дата публикации: 1908-12-10.

MANUFACTURING PROCESS MONITORING SYSTEM AND MANUFACTURING PROCESS MONITORING METHOD

Номер патента: US20120072002A1. Автор: INO Tomomi. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-03-22.

Process monitoring device and process monitoring method

Номер патента: TW201612509A. Автор: Nariaki Fujiwara. Владелец: Screen Holdings Co Ltd. Дата публикации: 2016-04-01.

Method and system for weld process monitoring

Номер патента: AU2002354952A1. Автор: John D. Nastasi Jr.. Владелец: Electronic Data Systems LLC. Дата публикации: 2003-03-03.

Photomask pattern of lithography process monitor mark and application thereof

Номер патента: TW200826153A. Автор: Po-Ching Lin,chuan-tai Ma. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2008-06-16.

Fuel combustion process monitor

Номер патента: RU1769282C. Автор: Petr P Usov,Viktor A Popov,Sergej N Sirazh,Yakov V Timoshenko,Vladimir N Rumyantsev. Владелец: Gomelskij Z Radiotekhnologiche. Дата публикации: 1992-10-15.

Cognitive process monitor

Номер патента: AU2003904489A0. Автор: Peter Geoffrey Burton. Владелец: Individual. Дата публикации: 2003-09-04.

Data processing monitor

Номер патента: USD1050122S1. Автор: Daihyung Ryu,Eunbong Lee. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-11-05.

Hydraulic drive for gate

Номер патента: RU2052701C1. Автор: Л.М. Утемов. Владелец: Утемова Александра Максимовна. Дата публикации: 1996-01-20.

Multi-monitor monitoring control apparatus and process monitoring control system using the same

Номер патента: JP5025230B2. Автор: 隆 豊島. Владелец: Mitsubishi Electric Corp. Дата публикации: 2012-09-12.

An Improved Button or Latch for Gates, Doors, and the like.

Номер патента: GB190515934A. Автор: Thomas Henry Herber Widdicombe. Владелец: Individual. Дата публикации: 1906-06-21.

Microelectromechanical processes monitoring structure and monitoring method

Номер патента: CN103395741B. Автор: 胡铁刚,汪建平,邓登峰. Владелец: Hangzhou Silan Microelectronics Co Ltd. Дата публикации: 2016-06-01.

Remote process monitoring system and monitoring method

Номер патента: KR0140364B1. Автор: 요시가즈 또노즈까,마나부 마쓰마에. Владелец: 사또 후미오. Дата публикации: 1998-07-01.

MECHANISM FOR ACCESSING AND PROCESSING MONITORING DATA RESULTING FROM CUSTOMIZED MONITORING OF SYSTEM ACTIVITIES

Номер патента: US20120084436A1. Автор: Pasternak Michael. Владелец: . Дата публикации: 2012-04-05.

PROCESSING MONITORING DATA IN A MONITORING SYSTEM

Номер патента: US20130006571A1. Автор: Rehn John,Stâhl Joachim,Williamsson Marcus. Владелец: AXIS AB. Дата публикации: 2013-01-03.

Holder camera suitable for environmental monitoring and industrial process monitoring

Номер патента: CN202818500U. Автор: 张福明,代红林. Владелец: Tianjin Yaan Technology Co Ltd. Дата публикации: 2013-03-20.

PROCESS MONITORING FOR HIGH-SPEED JOINING

Номер патента: US20120271581A1. Автор: Draht Torsten,Kolac Adnan. Владелец: Bollhoff Verbindungstechnik GmbH. Дата публикации: 2012-10-25.

Process monitoring method for cycle operation processing machine

Номер патента: JP4441735B2. Автор: 憲治 三浦. Владелец: 有限会社 ソフトロックス. Дата публикации: 2010-03-31.

Gate cover removal method for gate valve and gate valve

Номер патента: JP5976438B2. Автор: 充弘 森,信夫 宗友,光信 矢野,忍 安井. Владелец: Okayama City Office. Дата публикации: 2016-08-23.

Plasma processing apparatus, process monitoring method, and semiconductor device manufacturing method

Номер патента: JP3630931B2. Автор: 眞人 小杉. Владелец: Fujitsu Ltd. Дата публикации: 2005-03-23.

Control system for hydrocarbon hydroconversion processes - monitoring process parameters and regulating hydrogen/hydrocarbon mole ratio

Номер патента: IT1064720B. Автор: . Владелец: Uop Inc. Дата публикации: 1985-02-25.

Process monitor for a weld controller

Номер патента: MXPA99009696A. Автор: R Buda Paul,h bailey Jonathan,A Dew Larry,M Slazinski Robert. Владелец: Square D Company. Дата публикации: 2000-09-04.

Gate circuit for gating electric waveforms

Номер патента: PL138531B1. Автор: Jan Zelichowski. Владелец: Aparatury Badawczej I Dydaktyc. Дата публикации: 1986-09-30.

Roller mechanism for gates and the like

Номер патента: PH22018000590U1. Автор: Neil Gosingtian. Владелец: Neil Gosingtian. Дата публикации: 2018-10-29.

Split wedge gate for gate valves

Номер патента: PL118644B2. Автор: . Владелец: . Дата публикации: 1981-10-31.