• Главная
  • Method for manufacturing silicon carbide semiconductor device by selectively removing silicon from silicon carbide substrate to form protective carbon layer on silicon carbide substrate for activating dopants

Method for manufacturing silicon carbide semiconductor device by selectively removing silicon from silicon carbide substrate to form protective carbon layer on silicon carbide substrate for activating dopants

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Silicon carbide semiconductor device

Номер патента: US20190334030A1. Автор: Katsumi Suzuki,Yuichi Takeuchi,Atsuya Akiba,Sachiko Aoi. Владелец: Toyota Motor Corp. Дата публикации: 2019-10-31.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US09966437B2. Автор: Taku Horii. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2018-05-08.

Silicon carbide semiconductor device and method for manufacturing same

Номер патента: US20150236148A1. Автор: Takeyoshi Masuda. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2015-08-20.

Method of manufacturing silicon carbide semiconductor device

Номер патента: CA2669949A1. Автор: Kazuhiro Fujikawa. Владелец: Kazuhiro Fujikawa. Дата публикации: 2008-06-05.

Silicon carbide semiconductor device

Номер патента: US20160027878A1. Автор: Toru Hiyoshi,Kosuke Uchida. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-01-28.

Silicon carbide semiconductor device

Номер патента: US9306006B2. Автор: Toru Hiyoshi,Kosuke Uchida. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-04-05.

Silicon carbide lateral power semiconductor device

Номер патента: WO2024052643A1. Автор: Marina Antoniou,Peter Gammon,Yunyi QI,Ben RENZ. Владелец: THE UNIVERSITY OF WARWICK. Дата публикации: 2024-03-14.

Silicon carbide lateral power semiconductor device

Номер патента: GB2622268A. Автор: Antoniou Marina,Gammon Peter,Qi Yunyi,Renz Ben. Владелец: University of Warwick. Дата публикации: 2024-03-13.

Silicon carbide semiconductor device and method for manufacturing same

Номер патента: US09627488B2. Автор: Takeyoshi Masuda,Toru Hiyoshi,Kosuke Uchida. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-04-18.

Silicon carbide semiconductor device and method for manufacturing the same

Номер патента: US20190371893A1. Автор: Yusuke Wada,Haruo Nakazawa,Kenichi Iguchi. Владелец: Fuji Electric Co Ltd. Дата публикации: 2019-12-05.

Method for recovering carbon-face-polarized silicon carbide substrate

Номер патента: US20190393090A1. Автор: Wei He,Xianfeng Ni,Qian Fan. Владелец: Suzhou Han Hua Semiconductors Co Ltd. Дата публикации: 2019-12-26.

Semiconductor element, semiconductor device, and semiconductor element manufacturing method

Номер патента: US20130328065A1. Автор: Masahiko Niwayama,Masao Uchida. Владелец: Panasonic Corp. Дата публикации: 2013-12-12.

Method of manufacturing an insulation layer on silicon carbide and semiconductor device

Номер патента: EP3516682A1. Автор: Yuji Komatsu. Владелец: ZF FRIEDRICHSHAFEN AG. Дата публикации: 2019-07-31.

Silicon carbide semiconductor device and manufacturing method for the same

Номер патента: US20180323299A1. Автор: Shiro Hino,Takaaki TOMINAGA. Владелец: Mitsubishi Electric Corp. Дата публикации: 2018-11-08.

Semiconductor device, electro-optic device, power conversion device, and electronic apparatus

Номер патента: US20140217424A1. Автор: Hiroyuki Shimada. Владелец: Seiko Epson Corp. Дата публикации: 2014-08-07.

Controlled ion implantation into silicon carbide

Номер патента: EP3025372A1. Автор: Vipindas Pala,Alexander V. Suvorov. Владелец: Cree Inc. Дата публикации: 2016-06-01.

Controlled ion implantation into silicon carbide

Номер патента: EP4009380A2. Автор: Vipindas Pala,Alexander V. Suvorov. Владелец: Cree Inc. Дата публикации: 2022-06-08.

Silicon carbide lateral power semiconductor device

Номер патента: GB202213240D0. Автор: . Владелец: University of Warwick. Дата публикации: 2022-10-26.

Silicon carbide MOS type semiconductor device

Номер патента: JP5369464B2. Автор: 俊一 中村,喜幸 米澤,雅秀 後藤. Владелец: Fuji Electric Co Ltd. Дата публикации: 2013-12-18.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US09647081B2. Автор: Takeyoshi Masuda,Keiji Wada,Mitsuhiko Sakai. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-05-09.

Method for manufacturing silicon carbide semiconductor device and silicon carbide semiconductor device

Номер патента: US09881996B2. Автор: Toru Hiyoshi. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2018-01-30.

Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO

Номер патента: US20070072424A1. Автор: Jung-hyun Lee,Sang-Bong Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-29.

Method for manufacturing a marked single-crystalline substrate and semiconductor device with marking

Номер патента: US9048244B2. Автор: Thomas Popp. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-06-02.

Method for Manufacturing a Marked Single-Crystalline Substrate and Semiconductor Device with Marking

Номер патента: US20150037964A1. Автор: Thomas Popp. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-02-05.

Silicon carbide semiconductor device and method for manufacturing same

Номер патента: US20120126250A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2012-05-24.

Silicon carbide semiconductor device and method for manufacturing same

Номер патента: US20140170841A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2014-06-19.

Silicon carbide semiconductor device and manufacturing method therefor

Номер патента: US09773874B2. Автор: Yutaka Fukui,Nobuo Fujiwara,Yasuhiro Kagawa,Rina Tanaka. Владелец: Mitsubishi Electric Corp. Дата публикации: 2017-09-26.

METHOD FOR FORMING A CONTACT ON A SEMICONDUCTOR SUBSTRATE AND SEMICONDUCTOR DEVICE

Номер патента: US20150048431A1. Автор: GRIEB Michael,Suenner Thomas. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2015-02-19.

Method for forming a contact on a semiconductor substrate and semiconductor device

Номер патента: WO2013131690A1. Автор: Michael Grieb,Thomas Suenner. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2013-09-12.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20160118250A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-04-28.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US09620358B2. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-04-11.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US20150371856A1. Автор: Hiroyuki Kitabayashi. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2015-12-24.

Method for producing silicon carbide semiconductor device and silicon carbide semiconductor device

Номер патента: US12100739B2. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2024-09-24.

Silicon Carbide Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20160181374A1. Автор: Keiji Wada,Toru Hiyoshi. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-06-23.

SUBSTRATE WITH SILICON CARBIDE FILM, METHOD FOR PRODUCING SUBSTRATE WITH SILICON CARBIDE FILM, AND SEMICONDUCTOR DEVICE

Номер патента: US20160126321A1. Автор: WATANABE Yukimune. Владелец: . Дата публикации: 2016-05-05.

Silicon carbide semiconductor device and method for manufacturing same

Номер патента: US20130341646A1. Автор: Hideto Tamaso,Shunsuke Yamada. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2013-12-26.

Semiconductor device, method of manufacturing semiconductor device, inverter circuit, drive device, vehicle, and elevator

Номер патента: US20240096938A1. Автор: Tatsuo Shimizu. Владелец: Toshiba Corp. Дата публикации: 2024-03-21.

Semiconductor device, inverter circuit, driving device, vehicle, and elevator

Номер патента: US20210043723A1. Автор: Toshiyuki Oshima,Shinya Kyogoku,Ryosuke Iijima. Владелец: Toshiba Corp. Дата публикации: 2021-02-11.

METHOD FOR SELECTIVELY DEPOSITING A GROUP IV SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190027584A1. Автор: Tolle John,Margetis Joe. Владелец: . Дата публикации: 2019-01-24.

Method for selectively depositing a Group IV semiconductor and related semiconductor device structures

Номер патента: US11018002B2. Автор: John Tolle,Joe Margetis. Владелец: ASM IP Holding BV. Дата публикации: 2021-05-25.

The method for controlling the wafer bow in III-V type semiconductor devices

Номер патента: CN109103099A. Автор: S.肯南,P.W.金,S.E.朴,M.唐加尔,万建伟. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-12-28.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20140045322A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2014-02-13.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20150072486A1. Автор: Yuichi Takeuchi,Naohiro Sugiyama. Владелец: Denso Corp. Дата публикации: 2015-03-12.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US9450068B2. Автор: Yuichi Takeuchi,Naohiro Sugiyama. Владелец: Denso Corp. Дата публикации: 2016-09-20.

Method for manufacturing a silicon carbide semiconductor element

Номер патента: US09761453B2. Автор: Makoto Utsumi,Yoshiyuki Sakai. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-09-12.

Method of manufacturing silicon carbide semiconductor device

Номер патента: US09613809B2. Автор: Taku Horii,Masaki Kijima. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-04-04.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US9583346B2. Автор: Takeyoshi Masuda,Shunsuke Yamada,Taku Horii. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-02-28.

Semiconductor device and method for manufacturing same

Номер патента: US20220336658A1. Автор: Jun Hee Cho. Владелец: DB HiTek Co Ltd. Дата публикации: 2022-10-20.

Densification of silicon carbide film using remote plasma treatment

Номер патента: US09837270B1. Автор: Bhadri N. Varadarajan,Bo Gong,Zhe Gui,Guangbi Yuan,Fengyuan Lai. Владелец: Lam Research Corp. Дата публикации: 2017-12-05.

Semiconductor device and manufacturing method thereof

Номер патента: US20240363724A1. Автор: Ding-Kang SHIH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Semiconductor device

Номер патента: EP4350777A1. Автор: Takuya Yamada,Seiji Noguchi,Yosuke Sakurai,Kosuke Yoshida,Ryutaro Hamasaki. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-04-10.

Semiconductor device

Номер патента: EP4350778A1. Автор: Takuya Yamada,Seiji Noguchi,Yosuke Sakurai,Kosuke Yoshida,Ryutaro Hamasaki. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-04-10.

Silicon carbide wafer and semiconductor device

Номер патента: KR102434780B1. Автор: 김정규,구갑렬,견명옥,서정두,박종휘,최정우. Владелец: 주식회사 쎄닉. Дата публикации: 2022-08-22.

Method for Manufacturing a Marked Single-Crystalline Substrate and Semiconductor Device with Marking

Номер патента: US20150037964A1. Автор: Popp Thomas. Владелец: . Дата публикации: 2015-02-05.

METHOD FOR FABRICATING CONTACTS TO NON-PLANAR MOS TRANSISTORS IN SEMICONDUCTOR DEVICE

Номер патента: US20170103981A1. Автор: Hung Yu-Hsiang,Jenq Jyh-Shyang,LIN CHIEN-TING,Hsu Chih-Kai,Fu Ssu-I. Владелец: . Дата публикации: 2017-04-13.

Method for p-type doping of silicon carbide by al/be co-implantation

Номер патента: EP3602609A1. Автор: Giovanni ALFIERI,Vinoth Sundaramoorthy. Владелец: ABB Schweiz AG. Дата публикации: 2020-02-05.

Method for manufacturing silicon carbide semiconductor device and silicon carbide semiconductor device

Номер патента: US12087821B2. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2024-09-10.

Silicon carbide semiconductor device

Номер патента: US09722017B2. Автор: Nobuyuki Tomita,Takaaki TOMINAGA,Naoyuki Kawabata. Владелец: Mitsubishi Electric Corp. Дата публикации: 2017-08-01.

Method for manufacturing silicon carbide semiconductor device and silicon carbide semiconductor device

Номер патента: US20220231129A1. Автор: Hideto Tamaso. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2022-07-21.

Silicon carbide mos-gated semiconductor device

Номер патента: US20230071655A1. Автор: Cheng-Tyng Yen. Владелец: Fast SIC Semiconductor Inc. Дата публикации: 2023-03-09.

Silicon carbide MOS-gated semiconductor device

Номер патента: US11888056B2. Автор: Cheng-Tyng Yen. Владелец: Fast SIC Semiconductor Inc. Дата публикации: 2024-01-30.

Method for inspecting and manufacturing silicon wafer, method for manufacturing semiconductor device, and silicon wafer

Номер патента: TWI267117B. Автор: Miho Iwabuchi. Владелец: Shinetsu Handotai Kk. Дата публикации: 2006-11-21.

Method of manufacturing high-voltage semiconductor device and low-voltage semiconductor device

Номер патента: US7910466B2. Автор: Choul Joo Ko. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2011-03-22.

High-voltage semiconductor device and method of manufacturing the same

Номер патента: US20070155107A1. Автор: Choul Ko. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US12027576B2. Автор: Tomoaki Noguchi. Владелец: Mitsubishi Electric Corp. Дата публикации: 2024-07-02.

Method for providing a self-aligned pad protection in a semiconductor device

Номер патента: US20150357234A1. Автор: Michael Rogalli,Wolfgang Lehnert. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-12-10.

Methods for silicon carbide gate formation

Номер патента: US20230207638A1. Автор: Yi Zheng,Er-Xuan Ping. Владелец: Applied Materials Inc. Дата публикации: 2023-06-29.

Semiconductor device, inverter circuit, drive device, vehicle, and elevating machine

Номер патента: US20210184030A1. Автор: Katsuhisa Tanaka,Ryosuke Iijima. Владелец: Toshiba Corp. Дата публикации: 2021-06-17.

Method for fabricating hydrogen treated surface of semiconductor device with buried gate

Номер патента: US11929410B2. Автор: Jin Woong Kim. Владелец: SK hynix Inc. Дата публикации: 2024-03-12.

Semiconductor device, inverter circuit, driving device, vehicle, and elevator

Номер патента: US20230307536A1. Автор: Tatsuo Shimizu. Владелец: Toshiba Corp. Дата публикации: 2023-09-28.

Semiconductor device, inverter circuit, driving device, vehicle, and elevator

Номер патента: US20170365664A1. Автор: Tatsuo Shimizu,Ryosuke Iijima,Chiharu Ota. Владелец: Toshiba Corp. Дата публикации: 2017-12-21.

Silicon carbide wafer and semiconductor device applied the same

Номер патента: KR102236397B1. Автор: 김정규,구갑렬,서정두,박종휘,최정우. Владелец: 에스케이씨 주식회사. Дата публикации: 2021-04-02.

Manufacturing method of semiconductor device

Номер патента: US09698017B2. Автор: Shinichiro Miyahara,Sachiko Aoi,Tomoharu IKEDA. Владелец: Toyota Motor Corp. Дата публикации: 2017-07-04.

Finfet structure and method for forming the same

Номер патента: US20190123175A1. Автор: Hsin-Yun Hsu,Hsiao-Kuan Wei. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-25.

Semiconductor device and method for manufacturing the same

Номер патента: EP4439672A1. Автор: Tsutomu Kiyosawa. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2024-10-02.

Silicon carbide power mosfet with floating field ring and floating field plate

Номер патента: AU4528993A. Автор: Bantval Jayant Baliga. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 1994-01-04.

Silicon carbide power mosfet with floating field ring and floating field plate

Номер патента: WO1993026047A1. Автор: Bantval Jayant Baliga. Владелец: North Carolina State University. Дата публикации: 1993-12-23.

Semiconductor device

Номер патента: US20240321967A1. Автор: Hiroshi Kono,Shunsuke Asaba. Владелец: Toshiba Electronic Devices and Storage Corp. Дата публикации: 2024-09-26.

Semiconductor device

Номер патента: US09786778B1. Автор: Kohei MORIZUKA. Владелец: Toshiba Corp. Дата публикации: 2017-10-10.

Semiconductor device, inverter circuit, driving device, vehicle, and elevator

Номер патента: US20240072120A1. Автор: Hiroshi Kono,Takahiro Ogata,Teruyuki Ohashi,Shunsuke Asaba. Владелец: Toshiba Corp. Дата публикации: 2024-02-29.

Trench Type Silicon Carbide MOSFET Structure and Preparation Method Thereof

Номер патента: US20240145548A1. Автор: Xin Huang,Hongbo Gao. Владелец: Guangzhou Anhi Semiconductor Co Ltd. Дата публикации: 2024-05-02.

Semiconductor device and solid state relay using same

Номер патента: US20130033300A1. Автор: Hiroshi Okada,Takuya Sunada,Takeshi Oomori. Владелец: Panasonic Corp. Дата публикации: 2013-02-07.

Silicon carbide single crystal, semiconductor device

Номер патента: JP6806270B1. Автор: 博之 木下,木下 博之. Владелец: Mitsubishi Electric Corp. Дата публикации: 2021-01-06.

Method for producing a semiconductor body, semiconductor body and power semiconductor device

Номер патента: WO2024056185A1. Автор: Yulieth Cristina Arango,Giovanni ALFIERI. Владелец: Hitachi Energy Ltd. Дата публикации: 2024-03-21.

Silicon carbide n-channel power LMOSFET

Номер патента: US6593594B1. Автор: Dev Alok. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2003-07-15.

Vertical channel oxide semiconductor field effect transistor and method for fabricating the same

Номер патента: US09806191B1. Автор: Su Xing,Wanxun He. Владелец: United Microelectronics Corp. Дата публикации: 2017-10-31.

Method of fabricating semiconductor device with tilted preamorphized implant

Номер патента: US09761688B2. Автор: Ah-Young OH,Jae-Chun CHA,An-Bae LEE. Владелец: SK hynix Inc. Дата публикации: 2017-09-12.

METHOD FOR RECOVERING CARBON-FACE-POLARIZED SILICON CARBIDE SUBSTRATE

Номер патента: US20190393090A1. Автор: HE Wei,Ni Xianfeng,Fan Qian. Владелец: Suzhou Han Hua Semiconductor Co.,Ltd. Дата публикации: 2019-12-26.

Method of preparing a silicon carbide wafer

Номер патента: EP4214741A2. Автор: Michael Cooke,Andrew Newton,Samantha MAZZAMUTO,Matthew LOVEDAY. Владелец: OXFORD INSTRUMENTS NANOTECHNOLOGY TOOLS LTD. Дата публикации: 2023-07-26.

Method for making jfet device, jfet device and layout structure thereof

Номер патента: US20210175349A1. Автор: FENG Jin,Ying Cai. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2021-06-10.

Method for making JFET device, JFET device and layout structure thereof

Номер патента: US11257936B2. Автор: FENG Jin,Ying Cai. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2022-02-22.

Method of preparing a silicon carbide wafer

Номер патента: US20230326735A1. Автор: Michael Cooke,Andrew Newton,Samantha MAZZAMUTO,Matthew LOVEDAY. Владелец: OXFORD INSTRUMENTS NANOTECHNOLOGY TOOLS LTD. Дата публикации: 2023-10-12.

Interconnect structure and method for forming the same

Номер патента: US11854883B2. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Manufacturing method for memory and memory

Номер патента: US11895831B2. Автор: Tao Chen,Junchao Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-06.

Semiconductor device and method for fabricating the same

Номер патента: US20240072171A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-02-29.

Semiconductor device and method for fabricating the same

Номер патента: US11848383B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2023-12-19.

Semiconductor device and method

Номер патента: US11855163B2. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor device and method

Номер патента: US20240113183A1. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-04.

Semiconductor device

Номер патента: US20120049278A1. Автор: Kyung-Ho Lee,Ju-ho Kim,Jae-han Cha,Sun-Goo KIM,Hyung-Suk Choi,Jin-Young Chae,In-Taek OH. Владелец: Individual. Дата публикации: 2012-03-01.

Methods for fabricating and etching porous silicon carbide structures

Номер патента: WO2018112297A1. Автор: Fred Sharifi,Emma Rae Mullen,Rachel Cannara. Владелец: ELWHA LLC. Дата публикации: 2018-06-21.

Methods for fabricating and etching porous silicon carbide structures

Номер патента: US11732377B2. Автор: Fred Sharifi,Emma Rae Mullen,Rachel Cannara. Владелец: ELWHA LLC. Дата публикации: 2023-08-22.

Methods for fabricating and etching porous silicon carbide structures

Номер патента: US11124889B2. Автор: Fred Sharifi,Emma Rae Mullen,Rachel Cannara. Владелец: ELWHA LLC. Дата публикации: 2021-09-21.

Methods for fabricating and etching porous silicon carbide structures

Номер патента: EP3555908A1. Автор: Fred Sharifi,Emma Rae Mullen,Rachel Cannara. Владелец: ELWHA LLC. Дата публикации: 2019-10-23.

Method for manufacturing silicon carbide schottky barrier diode

Номер патента: US8980732B2. Автор: Jong Seok Lee,Kyoung Kook Hong. Владелец: Hyundai Motor Co. Дата публикации: 2015-03-17.

Method of manufacturing silicon carbide epitaxial wafer

Номер патента: US11948794B2. Автор: Masashi Sakai,Takuma Mizobe,Takuyo Nakamura. Владелец: Mitsubishi Electric Corp. Дата публикации: 2024-04-02.

Method for Forming a Via Profile of Interconnect Structure of Semiconductor Device Structure

Номер патента: US20170194197A1. Автор: Chang Che-Cheng,CHENG Tai-Shin,CHEN Wei-Ting,SHIAO Wei-Yin. Владелец: . Дата публикации: 2017-07-06.

Method for fabricating isolation layer using fluid dielectric layer in semiconductor device

Номер патента: KR100881135B1. Автор: 은병수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-02-02.

METHOD FOR SELF-ALIGNING METAL CONTACTS ON A SELF-ALIGNED SEMICONDUCTOR DEVICE.

Номер патента: FR2663157B1. Автор: Collot Philippe,Schmidt Paul Erick. Владелец: Thomson CSF SA. Дата публикации: 1992-08-07.

Method for forming material layer with inclined side wall and semiconductor device

Номер патента: CN110828307A. Автор: 王珏,陈政,钟志鸿,钟荣祥,武凌. Владелец: SMIC Manufacturing Shaoxing Co Ltd. Дата публикации: 2020-02-21.

Silicon carbide semiconductor device

Номер патента: US11121250B2. Автор: Takaaki TOMINAGA. Владелец: Mitsubishi Electric Corp. Дата публикации: 2021-09-14.

Semiconductor devices and methods for manufacturing the same

Номер патента: US09859434B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2018-01-02.

Silicon carbide metal-insulator semiconductor field effect transistor

Номер патента: CA2257232A1. Автор: Ranbir Singh,John W. Palmour. Владелец: Individual. Дата публикации: 1997-12-11.

Silicon carbide metal-insulator semiconductor field effect transistor

Номер патента: CA2257232C. Автор: Ranbir Singh,John W. Palmour. Владелец: Cree Inc. Дата публикации: 2004-03-30.

Semiconductor device, and preparation method therefor and use thereof

Номер патента: EP4199117A1. Автор: Tzung-Han Lee. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-21.

Device architecture and method for precision enhancement of vertical semiconductor devices

Номер патента: US09997455B2. Автор: Thomas E. Harrington, III. Владелец: D3 Semiconductor LLC. Дата публикации: 2018-06-12.

Methods for performing a gate cut last scheme for FinFET semiconductor devices

Номер патента: US09991361B2. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-05.

Method for manufacturing trench with thick insulating bottom and semiconductor device thereof

Номер патента: WO2011143836A1. Автор: 苏冠创. Владелец: 香港商莫斯飞特半导体有限公司. Дата публикации: 2011-11-24.

Method for manufacturing a thin film transistor of a semiconductor device

Номер патента: US20030109087A1. Автор: Se-Ho Park,Cha-deok Dong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Methods for performing a gate cut last scheme for finfet semiconductor devices

Номер патента: US20170345913A1. Автор: Xintuo Dai,Xusheng Wu,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-30.

METHODS FOR PERFORMING A GATE CUT LAST SCHEME FOR FINFET SEMICONDUCTOR DEVICES

Номер патента: US20170345913A1. Автор: Huang Haigou,Wu Xusheng,DAI Xintuo. Владелец: . Дата публикации: 2017-11-30.

Method for making strained semiconductor device and related methods

Номер патента: US09922883B2. Автор: Qing Liu,Xiuyu Cai,Ruilong Xie,Chun-Chen Yeh. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-03-20.

Method of manufacturing semiconductor device having stacks on wafer

Номер патента: US12027378B2. Автор: Takashi Watanabe. Владелец: Kioxia Corp. Дата публикации: 2024-07-02.

Method for fabricating recessed lightly doped drain field effect transistors

Номер патента: US20020187603A1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-12-12.

Method for producing and cleaning a silicon carbide product

Номер патента: EP1666645B1. Автор: Tadahiro Ohmi,Akinobu Teramoto,Sumio Sano. Владелец: Admap Inc. Дата публикации: 2019-06-19.

Semiconductor device and method of manufacturing the same

Номер патента: US20150115436A1. Автор: Dong-jun Lee,Jung-Sik Choi,Hoon Han,Jun-Won HAN,Hye-Reun KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-04-30.

Method for etching dual damascene structures in organosilicate glass

Номер патента: US6410437B1. Автор: Janet M. Flanner,Ian Morey. Владелец: Lam Research Corp. Дата публикации: 2002-06-25.

Silicon carbide channel-type semiconductor device and preparation method thereof

Номер патента: CN103606551B. Автор: 陈彤,倪炜江. Владелец: Global Power Technology Co Ltd. Дата публикации: 2016-08-17.

Semiconductor devices with active semiconductor height variation

Номер патента: US8497556B2. Автор: Sey-Ping Sun,David E. Brown,Hans Van Meer. Владелец: Advanced Micro Devices Inc. Дата публикации: 2013-07-30.

Method for reducing defect density of silicon carbide epitaxial material

Номер патента: CN112366130B. Автор: 周平,李赟,王翼,赵志飞. Владелец: CETC 55 Research Institute. Дата публикации: 2022-07-29.

High-performance semiconductor device and method of manufacturing the same

Номер патента: US20110227144A1. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2011-09-22.

It is used to form the method for pattern and the method using this method manufacturing semiconductor devices

Номер патента: CN110323139A. Автор: 千宰协. Владелец: Hynix Semiconductor Inc. Дата публикации: 2019-10-11.

Method for producing an electric component

Номер патента: US8756796B2. Автор: Werner Ruile,Markus Hauser,Christoph Eggs,Hans-Peter Kirschner. Владелец: EPCOS AG. Дата публикации: 2014-06-24.

Washing solution and washing method for semiconductor substrate

Номер патента: US20230402275A1. Автор: Atsushi Mizutani. Владелец: Fujifilm Corp. Дата публикации: 2023-12-14.

Production method of T-shaped gate electrode in semiconductor device

Номер патента: US5304511A. Автор: Masayuki Sakai. Владелец: Mitsubishi Electric Corp. Дата публикации: 1994-04-19.

METHODS FOR DEPOSITING A DOPED GERMANIUM TIN SEMICONDUCTOR AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190067004A1. Автор: Kohen David,Profijt Harald Benjamin. Владелец: . Дата публикации: 2019-02-28.

Method for forming a high quality insulation layer on a semiconductor device

Номер патента: US20100261355A1. Автор: Sang Tae Ahn,Seung Joon Jeon,Ja Chun Ku. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-10-14.

Method for forming a refractory-metal-silicide layer in a semiconductor device

Номер патента: US6548421B1. Автор: Kaoru Mikagi,Ken Inoue,Nobuaki Hamanaka. Владелец: NEC Corp. Дата публикации: 2003-04-15.

Method for forming an ultra thin dielectric film and a semiconductor device incorporating the same

Номер патента: US20020043695A1. Автор: Vishnu Agarwal,Garry Mercaldi. Владелец: Individual. Дата публикации: 2002-04-18.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: US20010038152A1. Автор: I-Ming Chen. Владелец: Individual. Дата публикации: 2001-11-08.

Manufacturing method for filling a trench or contact hole in a semiconductor device

Номер патента: US5824562A. Автор: Tai-su Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1998-10-20.

Method for controlling sheet resistance of poly in fabrication of semiconductor device

Номер патента: US20090077509A1. Автор: Nan Soon CHOI. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-03-19.

Method for forming a contact during the formation of a semiconductor device

Номер патента: US5686357A. Автор: Bradley J. Howard. Владелец: Micron Technology Inc. Дата публикации: 1997-11-11.

Process for manufacturing a package for a surface-mount semiconductor device and semiconductor device

Номер патента: US20170200669A1. Автор: Fulvio Vittorio Fontana. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-07-13.

Method for forming a plug or damascene trench on a semiconductor device

Номер патента: US6391763B1. Автор: Hao-Chieh Liu. Владелец: Winbond Electronics Corp. Дата публикации: 2002-05-21.

Dispatch method for production line in semiconductor process, storage medium and semiconductor device

Номер патента: US11988969B2. Автор: Chin-Chang Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

Semiconductor device, method for manufacturing same, and power converter using such semiconductor device

Номер патента: CN1701439A. Автор: 菅原良孝. Владелец: Kansai Electric Power Co Inc. Дата публикации: 2005-11-23.

Method for manufacturing a buried channel (BCCD) charge-coupled semiconductor device

Номер патента: DE4041014C2. Автор: Yong Park,Seo Kyu Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-05-10.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US20160181160A1. Автор: Kazuo Kobayashi,Yoichiro Tarui,Hideaki Yuki. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-06-23.

Method for producing polycrystalline silicon carbide substrate

Номер патента: EP4421220A1. Автор: Kuniaki Yagi. Владелец: Sicoxs Corp. Дата публикации: 2024-08-28.

Method of forming a semiconductor device

Номер патента: US12040218B2. Автор: Frederick Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-16.

Semiconductor device and method for manufacturing the same

Номер патента: US20010040265A1. Автор: Ha Zoong Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2001-11-15.

Method for manufacturing silicon nitrogenous film on substrate having a groove

Номер патента: WO2023202936A1. Автор: Issei Sakurai. Владелец: Merck Patent GmBH. Дата публикации: 2023-10-26.

Method for manufacturing processed substrate

Номер патента: WO2023169968A1. Автор: Hiroyuki Aoki,Masanobu Hayashi. Владелец: Merck Patent GmBH. Дата публикации: 2023-09-14.

Method for cleaning semiconductor wafer

Номер патента: US20230154742A1. Автор: Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-05-18.

Self-aligned epitaxial method for the fabrication of semiconductor devices

Номер патента: US4101350A. Автор: Glen G. Possley,Robert G. Massey,Billy B. Williams. Владелец: Texas Instruments Inc. Дата публикации: 1978-07-18.

Method for Producing or Modifying Silicon Carbide-Containing Articles

Номер патента: US20220097256A1. Автор: Siegmund Greulich-Weber,Rüdiger SCHLEICHER-TAPPESER. Владелец: PSC Technologies GmbH. Дата публикации: 2022-03-31.

METHOD FOR MANUFACTURING A POLYCRYSTALLINE SILICON CARBIDE SUPPORT SUBSTRATE

Номер патента: FR3127330A1. Автор: Hugo BIARD,Mélanie LAGRANGE. Владелец: Soitec SA. Дата публикации: 2023-03-24.

Silicon carbide wafer and method of fabricating the same

Номер патента: US11788204B2. Автор: Ching-Shan Lin. Владелец: GlobalWafers Co Ltd. Дата публикации: 2023-10-17.

METHODS FOR FABRICATING AND ETCHING POROUS SILICON CARBIDE STRUCTURES

Номер патента: US20200006074A1. Автор: Sharifi Fred,Cannara Rachel,Mullen Emma Rae. Владелец: . Дата публикации: 2020-01-02.

Forming method for back ohmic contact of silicon carbide device

Номер патента: CN105448673A. Автор: 刘可安,杨程,周正东,李诚瞻,刘国友,吴佳,史晶晶. Владелец: Zhuzhou CSR Times Electric Co Ltd. Дата публикации: 2016-03-30.

Rinsing composition, and method for rinsing and manufacturing silicon wafer

Номер патента: US7772173B2. Автор: Hiroyuki Nakagawa. Владелец: Fujimi Inc. Дата публикации: 2010-08-10.

Rinsing composition, and method for rinsing and manufacturing silicon wafer

Номер патента: US20050282718A1. Автор: Hiroyuki Nakagawa. Владелец: Fujimi Inc. Дата публикации: 2005-12-22.

Method for manufacturing hafnium silicate gate insulating film for semiconductor devices

Номер патента: KR100547282B1. Автор: 이시우,김원규,강상우. Владелец: 학교법인 포항공과대학교. Дата публикации: 2006-01-31.

A method for manufacturing pre-metal dielectric layer of a semiconductor device

Номер патента: KR100562316B1. Автор: 김성래. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-03-17.

Rinsing composition, and method for rinsing and manufacturing silicon wafer

Номер патента: TW200601447A. Автор: Hiroyuki Nakagawa. Владелец: Fujimi Inc. Дата публикации: 2006-01-01.

Method for cleaning semiconductor wafer

Номер патента: US11862456B2. Автор: Kensaku Igarashi. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-01-02.

Adsorption site blocking method for co-doping ald films

Номер патента: US20130122678A1. Автор: Hiroyuki Ode,Toshiyuki Hirota,Sandra Malhotra,Hanhong Chen,Wim Deweerd. Владелец: Elpida Memory Inc. Дата публикации: 2013-05-16.

Method of manufacturing semiconductor device

Номер патента: US20210028042A1. Автор: Naofumi Ohashi,Shun Matsui,Yasuhiro Mizuguchi,Tadashi Takasaki. Владелец: Kokusai Electric Corp. Дата публикации: 2021-01-28.

Method of manufacturing semiconductor device

Номер патента: US20210028041A1. Автор: Naofumi Ohashi,Shun Matsui,Yasuhiro Mizuguchi,Tadashi Takasaki. Владелец: Kokusai Electric Corp. Дата публикации: 2021-01-28.

Method for producing laminate, producing apparatus for laminate, laminate, and semiconductor device

Номер патента: US20240177993A1. Автор: Hiroshi Hashigami. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-05-30.

METHODS FOR FORMING A SILICON GERMANIUM TIN LAYER AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

Номер патента: US20190013199A1. Автор: Tolle John,Margetis Joe,Bhargava Nupur. Владелец: . Дата публикации: 2019-01-10.

Method for forming oxide film in SiC semiconductor and SiC semiconductor device

Номер патента: JP4470333B2. Автор: 弘之 松波,恒暢 木本,弘 塩見. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2010-06-02.

Method for cleaning the inside of a pipe of a semiconductor device manufacturing apparatus

Номер патента: JP2910761B1. Автор: 康 佐々木. Владелец: NEC Corp. Дата публикации: 1999-06-23.

Method for evaluating crystal defects in silicon carbide single crystal wafer

Номер патента: US20240142390A1. Автор: Toru Takahashi,Yutaka Shiga,Hisao Muraki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-05-02.

Method for evaluating crystal defects of silicon carbide single crystal wafer

Номер патента: EP4310893A1. Автор: Toru Takahashi,Yutaka Shiga,Hisao Muraki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-01-24.

Apparatus and method for measuring and controlling the internal temperature of a semiconductor device

Номер патента: US09568537B1. Автор: Jason Christopher McCullough. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-14.

Silicon carbide wafer and semiconductor device applied the same

Номер патента: US20220320296A1. Автор: Jong Hwi Park,Jung-Gyu Kim,Jung Woo Choi,Kap-Ryeol KU,Jung Doo Seo. Владелец: Senic Inc. Дата публикации: 2022-10-06.

Method for transferring graphene film

Номер патента: WO2021159663A1. Автор: Han Xiao,Mingsheng Xu. Владелец: Zhejiang University. Дата публикации: 2021-08-19.

SILICON CARBIDE WAFER AND SEMICONDUCTOR DEVICE

Номер патента: US20220403551A1. Автор: CHOI Jung Woo,PARK Jong Hwi,KU Kap-Ryeol,KIM Jung-Gyu,KYUN Myung Ok,SEO Jung Doo. Владелец: SENIC INC.. Дата публикации: 2022-12-22.

Method for reduction of filaments between electrodes

Номер патента: US4878996A. Автор: Howard L. Tigelaar,Allan T. Mitchell,Kalipatnam V. Rao,Shaym G. Garg. Владелец: Texas Instruments Inc. Дата публикации: 1989-11-07.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190304855A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US10847433B2. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2020-11-24.

Methods for forming a silicon germanium tin layer and related semiconductor device structures

Номер патента: US10685834B2. Автор: John Tolle,Joe Margetis,Nupur Bhargava. Владелец: ASM IP Holding BV. Дата публикации: 2020-06-16.

Refractory metal nitride capped electrical contact and method for frabricating same

Номер патента: US20110049720A1. Автор: Sadiki Jordan. Владелец: International Rectifier Corp USA. Дата публикации: 2011-03-03.

Biased reactive refractory metal nitride capped contact of group III-V semiconductor device

Номер патента: US9484425B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2016-11-01.

Method for manufacturing of metal line contact plug of semiconductor device

Номер патента: KR100444307B1. Автор: 권판기,이상익. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-08-16.

Method of Fabricating an Electrical Contact for Use on a Semiconductor Device

Номер патента: US20170047228A1. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-02-16.

Methods for removing selected fins that are formed for finfet semiconductor devices

Номер патента: US20150318215A1. Автор: Ruilong Xie,William J. Taylor, Jr.. Владелец: Globalfoundries Inc. Дата публикации: 2015-11-05.

Method for forming a active cell isolation layer of a semiconductor device

Номер патента: KR100453345B1. Автор: 유지환. Владелец: 동부전자 주식회사. Дата публикации: 2004-10-15.

Method for forming a shallow trench isolation structure of the semiconductor device

Номер патента: KR100713345B1. Автор: 장준식. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-05-04.

Method for forming the Isolation Layer and body contact of Semiconductor Device

Номер патента: KR100415440B1. Автор: 장민우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-01-24.

Method for forming ohmic contact on silicon-silicon interface in semiconductor device

Номер патента: KR100336042B1. Автор: 변광선,이상은,정성희. Владелец: 윤종용. Дата публикации: 2002-05-08.

Method for reducing line edge roughness for trench etch, and semiconductor device thereof

Номер патента: CN100477135C. Автор: P·勒温哈德特,D·乐,H·H·朱,E·沃加纳. Владелец: Lam Research Corp. Дата публикации: 2009-04-08.

Method for manufacturing a semiconductor device

Номер патента: US7084069B2. Автор: Naokatsu Ikegami. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2006-08-01.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464A3. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2009-06-17.

Method for the anisotropic etching of metal films in the fabrication of interconnects

Номер патента: US5350484A. Автор: Xiao-Chun Mu,Donald S. Gardner,David B. Fraser. Владелец: Intel Corp. Дата публикации: 1994-09-27.

Method for oxidizing a structure during the fabrication of a semiconductor device

Номер патента: EP2063464B1. Автор: Takayuki Niuya,Ming Hwang,Boyang Lin,Song C. Park. Владелец: Texas Instruments Inc. Дата публикации: 2017-11-29.

Method for patterning aluminum nitride and silicon carbide composite structure and composite structure

Номер патента: CN111029256A. Автор: 阮勇,尤政,张高飞,周元楷. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2020-04-17.

Method for manufacturing isolation pattern of word line in semiconductor device

Номер патента: KR100701684B1. Автор: 조영만. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-03-29.

Method for manufacturing a shallow trench isolation layer of semiconductor device

Номер патента: KR100501549B1. Автор: 이대근. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-07-18.

Method for manufacturing metal layer for capacitor electrode of semiconductor device

Номер патента: KR100475045B1. Автор: 이상협,김영선. Владелец: 삼성전자주식회사. Дата публикации: 2005-05-27.

Methods of manufacturing semiconductor devices

Номер патента: US09960169B2. Автор: Sang-kyun Kim,Hao Cui,Yun-jeong Kim,Seung-Ho Park,In-seak Hwang,Jun-Seok Lee,Byoung-Ho Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-05-01.

Method for fabricating semiconductor device

Номер патента: US20030113993A1. Автор: Min-Suk Lee,Sung-Kwon Lee,Sang-Ik Kim,Chang-Youn Hwang,Weon-Joon Suh. Владелец: Individual. Дата публикации: 2003-06-19.

METHOD FOR PROVIDING A SELF-ALIGNED PAD PROTECTION IN A SEMICONDUCTOR DEVICE

Номер патента: US20150357234A1. Автор: Lehnert Wolfgang,Rogalli Michael. Владелец: . Дата публикации: 2015-12-10.

METHOD FOR ELIMINATING DISLOCATIONS IN ACTIVE AREA AS WELL AS SEMICONDUCTOR DEVICE

Номер патента: US20190341262A1. Автор: Zhou Jun,Li Yun,XU Jingjing,LUO Qingwei. Владелец: . Дата публикации: 2019-11-07.

Method for fabricating a pad-layer/fuse-layer of a semiconductor device

Номер патента: KR100413582B1. Автор: 이기민. Владелец: 동부전자 주식회사. Дата публикации: 2003-12-31.

Method for forming a dielectric film of a capacitor in semiconductor device

Номер патента: EP0540240A1. Автор: Kenji Okamura. Владелец: NEC Corp. Дата публикации: 1993-05-05.

Method for forming a self-aligned contact hole in a semiconductor device

Номер патента: US6808975B2. Автор: Jun Seo,Jong-Heui Song. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-10-26.

Method for removing circle defect on the metal layer of semiconductor device

Номер патента: KR100702796B1. Автор: 조보연. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-04-03.

Method for fabricating a trench of dual damascene interconnection in semiconductor device

Номер патента: KR100642486B1. Автор: 이기민. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-11-02.

Method of making semiconductor device having a capacitor of large capacitance

Номер патента: US5234857A. Автор: Sung-Tae Kim,Jae-hong Ko,Hyeung-gyu Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1993-08-10.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US20180215606A1. Автор: Yasuo Yamaguchi,Mika Okumura. Владелец: Mitsubishi Electric Corp. Дата публикации: 2018-08-02.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Method for selectively depositing a metallic film on a substrate

Номер патента: US12033861B2. Автор: David Kurt De Roest,Delphine Longrie. Владелец: ASM IP Holding BV. Дата публикации: 2024-07-09.

Conductive laminate and method for manufacturing the same

Номер патента: US11745469B2. Автор: Tzu-Chien Wei,Wei-Yen Wang. Владелец: National Tsing Hua University NTHU. Дата публикации: 2023-09-05.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US7217633B2. Автор: Geon-Ook Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-05-15.

Methods for fabricating an STI film of a semiconductor device

Номер патента: US20050142805A1. Автор: Geon-Ook Park. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Semiconductor device and method

Номер патента: US12033940B2. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Semiconductor device and method of manufacturing the semiconductor device

Номер патента: US20020155653A1. Автор: Masaaki Ikegami. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-10-24.

Method of manufacturing the semiconductor device

Номер патента: US20040058530A1. Автор: Masaaki Ikegami. Владелец: Mitsubishi Electric Corp. Дата публикации: 2004-03-25.

Semiconductor device and method

Номер патента: US09978680B2. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Semiconductor device and method

Номер патента: US09666587B1. Автор: Jhon Jhy Liaw,Ren-Fen Tsui,Dian-Sheg Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-30.

Semiconductor device and production method for semiconductor device

Номер патента: US20220139780A1. Автор: Shingo KABUTOYA. Владелец: Kyocera Corp. Дата публикации: 2022-05-05.

Process for manufacturing a package for a surface-mount semiconductor device and semiconductor device

Номер патента: US09640468B2. Автор: Fulvio Vittorio Fontana. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2017-05-02.

Method for manufacturing of metal line contact plug of semiconductor device

Номер патента: KR100442962B1. Автор: 권판기,안기철,정종구. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-08-04.

Method for manufacturing shallow trench isolation layer of the semiconductor device

Номер патента: KR100677998B1. Автор: 안희균. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-02-02.

METHOD FOR MANUFACTURING A MEMBER ISOLATION REGION OF A SEMICONDUCTOR DEVICE

Номер патента: FR2598557B1. Автор: Isamu Namose. Владелец: Seiko Epson Corp. Дата публикации: 1990-03-30.

METHOD FOR MANUFACTURING AN ELEMENT ISOLATION REGION OF A SEMICONDUCTOR DEVICE

Номер патента: FR2598557A1. Автор: Isamu Namose. Владелец: Seiko Epson Corp. Дата публикации: 1987-11-13.

Hermetic sealing cover for a container for semiconductor devices

Номер патента: US4109818A. Автор: Norman Hascoe,Samuel W. Levine. Владелец: Semi-Alloys Inc. Дата публикации: 1978-08-29.

Apparatus and method for detecting failure in a mechanical press

Номер патента: US20200047442A1. Автор: Kwok Pun LAW,Hong Yeung LI,Cho Wai LEUNG. Владелец: ASM TECHNOLOGY SINGAPORE PTE LTD. Дата публикации: 2020-02-13.

Method for forming storage node contact plug of semiconductor device

Номер патента: US20040264132A1. Автор: Yun-Seok Cho,Yu-Chang Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-12-30.

Method of manufacturing semiconductor device and support structure for semiconductor substrate

Номер патента: US20050263907A1. Автор: Takaharu Yamano. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2005-12-01.

APPARATUSES AND METHODS FOR ARRANGING THROUGH-SILICON VIAS AND PADS IN A SEMICONDUCTOR DEVICE

Номер патента: US20200212008A1. Автор: KITANO Tomohiro. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2020-07-02.

Method for forming a lower electrode for use in a semiconductor device

Номер патента: US6465300B2. Автор: Jeong-tae Kim,Yong-Sik Yu. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-10-15.

Method for forming interconnection layer and contact hole layer in semiconductor device

Номер патента: CN112599473A. Автор: 张文广,朱建军. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2021-04-02.

Method for forming barrier layer fabricating metal line in a semiconductor device

Номер патента: KR100781456B1. Автор: 주성중. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-12-03.

METHOD FOR MAKING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831A1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1985-01-11.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022A1. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-11-21.

Method for examining the defect of gate oxide layer in semiconductor device

Номер патента: KR100591149B1. Автор: 나유석. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-06-19.

METHOD FOR PRODUCING AT LEAST ONE DEEP LAYER IN A SEMICONDUCTOR DEVICE

Номер патента: FR2548831B1. Автор: Pieter Johannes Wilhel Jochems. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1988-10-14.

Method for forming contact hole for dual damascene interconnection in semiconductor device

Номер патента: US7276439B2. Автор: Kang-Hyun Lee. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-10-02.

Method for producing a diffusion barrier metal layer in a semiconductor device

Номер патента: DE19620022C2. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-09-19.

Method for forming electrical interconnection using dual damascene process of semiconductor device

Номер патента: KR100711929B1. Автор: 정성희. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-04-27.

Method for adjusting transport position of wafer, adjustment apparatus, and semiconductor device

Номер патента: WO2023035434A1. Автор: 刘洋. Владелец: 长鑫存储技术有限公司. Дата публикации: 2023-03-16.

Method for forming a contact during the formation of a semiconductor device

Номер патента: US6274936B1. Автор: Bradley J. Howard. Владелец: Micron Technology Inc. Дата публикации: 2001-08-14.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on a substrate

Номер патента: KR100367809B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2003-01-10.

Method for fabricating a diffusion barrier metal layer in a semiconductor device

Номер патента: GB9610393D0. Автор: . Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1996-07-24.

Microelectronic devices and methods for manufacturing microelectronic devices

Номер патента: WO2007027972A2. Автор: Derek Gochnour,Jonathon G. Greenwood. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2007-03-08.

Silicon carbide seed crystal and method of manufacturing silicon carbide ingot

Номер патента: US11821105B2. Автор: Ching-Shan Lin. Владелец: GlobalWafers Co Ltd. Дата публикации: 2023-11-21.

Method of manufacturing silicon carbide ingot

Номер патента: US20230067197A1. Автор: Ching-Shan Lin. Владелец: GlobalWafers Co Ltd. Дата публикации: 2023-03-02.

Method for manufacturing photovoltaic module and photovoltaic module

Номер патента: US20240355947A1. Автор: Jun Feng,Wusong Tao,Yunfeng HAN,Yufan ZHAN. Владелец: Jinko Solar Co Ltd. Дата публикации: 2024-10-24.

Method for manufacturing photovoltaic module and photovoltaic module

Номер патента: EP4451346A1. Автор: Jun Feng,Wusong Tao,Yunfeng HAN,Yufan ZHAN. Владелец: Jinko Solar Co Ltd. Дата публикации: 2024-10-23.

Method for fabricating a three-dimensional inductor carrier with metal core and structure thereof

Номер патента: US8513772B2. Автор: You-Ming Hsu,Chih-Ming Kuo. Владелец: Chipbond Technology Corp. Дата публикации: 2013-08-20.

Optically controlled silicon carbide and related wide-bandgap transistors and thyristors

Номер патента: NZ572661A. Автор: Michael S Mazzola. Владелец: SS SC IP LLC. Дата публикации: 2012-03-30.

Method for fabricating a three-dimensional inductor carrier with metal core and structure thereof

Номер патента: US20130127578A1. Автор: You-Ming Hsu,Chih-Ming Kuo. Владелец: Chipbond Technology Corp. Дата публикации: 2013-05-23.

Welding method for welding strip of back-contact solar cell chip

Номер патента: AU2021282523A1. Автор: Hsin-Wang Chiu. Владелец: Golden Solar Quanzhou New Energy Technology Co Ltd. Дата публикации: 2022-10-20.

Radiation hardened semiconductor devices and packaging

Номер патента: US20230387079A1. Автор: Chong Leong Gan. Владелец: Micron Technology Inc. Дата публикации: 2023-11-30.

Apparatus and methods for sensing long wavelength light

Номер патента: US12113086B2. Автор: Ying-Hao Chen,Yun-Wei Cheng,Chun-Hao Chou,Kuo-Cheng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-08.

Method for manufacturing a bottom substrate of a liquid crystal display device

Номер патента: US20070155034A1. Автор: Yi-Wei Lee,Ching-Yun Chu. Владелец: AU OPTRONICS CORP. Дата публикации: 2007-07-05.

System and Method for Manufacturing Embedded Conformal Electronics

Номер патента: US20110171392A1. Автор: Richard Gambino,Jon Longtin,Sanjay Sampath. Владелец: Individual. Дата публикации: 2011-07-14.

Method for Forming Doped Epitaxial Layer of Contact Image Sensor

Номер патента: US20220069145A1. Автор: Chang Sun,Jun Qian,Chenchen Qiu,Zhengying Wei. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-03-03.

Method for forming doped epitaxial layer of contact image sensor

Номер патента: US11508859B2. Автор: Chang Sun,Jun Qian,Chenchen Qiu,Zhengying Wei. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-11-22.

A leadframe and a method of manufacturing a semiconductor device device by use of it

Номер патента: GB9805912D0. Автор: . Владелец: ROHM CO LTD. Дата публикации: 1998-05-13.

Semiconductor module and method for mounting the same

Номер патента: US20050116330A1. Автор: Tomotoshi Sato,Rina Murayama. Владелец: Individual. Дата публикации: 2005-06-02.

Semiconductor device layout structure, method for forming same, and test system

Номер патента: US20240071844A1. Автор: Yizhi Zeng. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-29.

Apparatus and methods for sensing long wavelength light

Номер патента: US11798969B2. Автор: Ying-Hao Chen,Yun-Wei Cheng,Chun-Hao Chou,Kuo-Cheng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-24.

Apparatus and Method For Generating Test Pattern Data For Testing Semiconductor Device

Номер патента: US20080040639A1. Автор: Jong Koo Kang. Владелец: UniTest Inc. Дата публикации: 2008-02-14.

Semiconductor device and method for generating integrated circuit layout

Номер патента: US20240014202A1. Автор: Chun-Cheng Ku,Kuan-Jung Jhu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-11.

Piezoelectric component and method for producing a piezoelectric component

Номер патента: US20140191620A1. Автор: MICHAEL Guenther,Reinhold Melcher. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2014-07-10.

Semiconductor device and manufacturing method of the same

Номер патента: US8564128B2. Автор: Junji Shiota. Владелец: Teramikros Inc. Дата публикации: 2013-10-22.

APPARATUSES AND METHODS FOR COUPLING CONTACT PADS TO A CIRCUIT IN A SEMICONDUCTOR DEVICE

Номер патента: US20190304855A1. Автор: Igeta Masahiko,Terui Yoshimi. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2019-10-03.

Apparatuses and methods for coupling contact pads to a circuit in a semiconductor device

Номер патента: US20190333830A1. Автор: Masahiko Igeta,Yoshimi Terui. Владелец: Micron Technology Inc. Дата публикации: 2019-10-31.

Method for CVD and apparatus for performing the same in semiconductor device processing

Номер патента: KR100375102B1. Автор: 김종우,김광식,이희태,박윤세. Владелец: 삼성전자주식회사. Дата публикации: 2003-03-08.

Method for low stress flip-chip assembly of fine-pitch semiconductor devices

Номер патента: US8530360B2. Автор: Abram M. Castro. Владелец: Texas Instruments Inc. Дата публикации: 2013-09-10.

Method for fabricating a lower plate for a capacitor of semiconductor device

Номер патента: KR100338959B1. Автор: 박상희. Владелец: 박종섭. Дата публикации: 2002-06-01.

Production method for copolymer film, copolymer film for med therefrom, and semiconductor device using said copolymer film

Номер патента: CN1269866C. Автор: 林喜宏,川原润. Владелец: NEC Corp. Дата публикации: 2006-08-16.

Method for mounting a semiconductor chip on a substrate, and semiconductor device adapted for mounting on substrate

Номер патента: AU742589B2. Автор: I-Ming Chen. Владелец: Evergrand Holdings Ltd. Дата публикации: 2002-01-10.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: KR100353223B1. Автор: 아이-밍 첸. Владелец: 아이-밍 첸. Дата публикации: 2002-09-18.

Method for protecting semiconductor integrated circuit against reverse engineering and semiconductor device

Номер патента: CN111610425A. Автор: 村上洋树. Владелец: Winbond Electronics Corp. Дата публикации: 2020-09-01.

Fabrication method for chip size package and non-chip size package semiconductor devices

Номер патента: US7632711B2. Автор: Makoto Terui. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2009-12-15.

System and method for automatically identifying defect-based test coverage gaps in semiconductor devices

Номер патента: IL303364A. Автор: . Владелец: KLA Corp. Дата публикации: 2023-08-01.

Method for manufacturing electrode sheets and apparatus therefor

Номер патента: US09705150B2. Автор: Hiroki Ishida,Tsuyoshi Ito,Ichiro Shibata,Keisuke Omori,Akiji Hayashi. Владелец: Toyota Motor Corp. Дата публикации: 2017-07-11.

Method for producing substrates for superconducting layers

Номер патента: EP3072167A1. Автор: Anders Christian WULFF. Владелец: Danmarks Tekniskie Universitet. Дата публикации: 2016-09-28.

Method for the wet deposition of thin films

Номер патента: US20180001290A1. Автор: Benoit Heinrichs,Cedric Calberg,Dimitri LIQUET,Carlos PAEZ,Christelle ALIÉ. Владелец: UNIVERSITE DE LIEGE. Дата публикации: 2018-01-04.

Method for producing a fuel cell, and fuel cell

Номер патента: US20230231158A1. Автор: Thomas Kretschmar,David Thomann,Robert Landvogt,Heiko Klaumuenzer,Marco Boehnlein. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-07-20.

Polycrystalline silicon carbide with increased conductivity

Номер патента: CA1069292A. Автор: Svante Prochazka. Владелец: General Electric Co. Дата публикации: 1980-01-08.

Process for manufacturing fine particle silicon carbide

Номер патента: CH444832A. Автор: Wallace Evans Arthur,George Wynne Reginald,Waldemar Maryowski Chester. Владелец: British Titan Products. Дата публикации: 1967-10-15.

Fabrication method for flash memory source line and flash memory

Номер патента: US20050181563A1. Автор: Ing-Ruey Liaw,Jui-Hsiang Yang,Yue-Feng Chen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2005-08-18.

Fabrication method for flash memory source line and flash memory

Номер патента: US7129134B2. Автор: Ing-Ruey Liaw,Jui-Hsiang Yang,Yue-Feng Chen. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2006-10-31.

Display device and assembling method for the same

Номер патента: US20210307180A1. Автор: Chih-Hao Lu. Владелец: Pegatron Corp. Дата публикации: 2021-09-30.

Dynamically adjusting operation of a circuit within a semiconductor device

Номер патента: US20090072855A1. Автор: Sujeet Ayyapureddi,Raghukiran Sreeramaneni. Владелец: Micron Technology Inc. Дата публикации: 2009-03-19.

Method for reducing particles and defects during flash memory fabrication

Номер патента: US20030181008A1. Автор: Kent Chang,Weng-Hsing Huang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-09-25.

Method for producing honeycomb structure and method for producing electrically heating support

Номер патента: US11969721B2. Автор: Takashi Noro,Masahiro Tokuda. Владелец: NGK Insulators Ltd. Дата публикации: 2024-04-30.

Systems and methods for intelligent discard in a communication network

Номер патента: US8199645B2. Автор: David Gell,Kenneth Stanwood. Владелец: Cygnus Broadband Inc. Дата публикации: 2012-06-12.

Systems and methods for intelligent discard in a communication network

Номер патента: US8068440B2. Автор: David Gell,Kenneth Stanwood. Владелец: Cygnus Broadband Inc. Дата публикации: 2011-11-29.

Systems and methods for prioritization of data for intelligent discard in a communication network

Номер патента: WO2012078236A1. Автор: David Gell,Kenneth Stanwood. Владелец: CYGNUS BROADBAND. Дата публикации: 2012-06-14.

Memory and method for forming same

Номер патента: EP4277448A1. Автор: Yi Jiang,Deyuan Xiao,Juanjuan Huang,Weiping BAI. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-15.

Method for making a shielding garment for a human body

Номер патента: US20240065348A1. Автор: Christian Dratva. Владелец: Individual. Дата публикации: 2024-02-29.

System and method for providing personalized security services

Номер патента: GB2577399A. Автор: SINGH A/L SURJIT SINGH JAYVINDER. Владелец: Individual. Дата публикации: 2020-03-25.

Method for winding coils of single-phase induction electromotor

Номер патента: US4807821A. Автор: In S. Kim. Владелец: Individual. Дата публикации: 1989-02-28.

Secure provisioning of devices for manufacturing and maintenance

Номер патента: US10425413B2. Автор: Ian G. Angus. Владелец: Boeing Co. Дата публикации: 2019-09-24.

Method for providing restricted access to hardware component interfaces of a network device

Номер патента: US11657183B2. Автор: Rainer Falk,Christian Peter Feist,Johannes Zwanzger. Владелец: SIEMENS AG. Дата публикации: 2023-05-23.

Method for forming a metal layer with pattern on a substrate

Номер патента: US4643912A. Автор: Shigeru Nakagawa,Kiyotaka Uchikawa. Владелец: Marui Industry Co Ltd. Дата публикации: 1987-02-17.

Method for forming cylindrical capacitor lower plate in semiconductor device

Номер патента: US5858834A. Автор: Toshiyuki Hirota,Kiyotaka Sakamoto,Shuji Fujiwara. Владелец: NEC Corp. Дата публикации: 1999-01-12.

Method for estimating the end of lifetime for a power semiconductor device

Номер патента: EP2724170A1. Автор: Bjørn Rannestad,Paul Bach Thogersen. Владелец: KK-ELECTRONIC AS. Дата публикации: 2014-04-30.

Method for producing high-density sintered silicon carbide articles

Номер патента: US4788018A. Автор: Koichi Yamada,Masahide Mouri. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 1988-11-29.

Method for assisting with guiding a surface vessel for towing an underwater device by means of a cable

Номер патента: AU2022420392A1. Автор: Christophe Borel,Quentin DUPUIS. Владелец: Thales SA. Дата публикации: 2024-06-20.

Method for assisting with guiding a surface vessel for towing an underwater device by means of a cable

Номер патента: CA3241137A1. Автор: Christophe Borel,Quentin DUPUIS. Владелец: Thales SA. Дата публикации: 2023-06-29.

Apparatus and method for the parallel and independent testing of voltage-supplied semiconductor devices

Номер патента: US6903565B2. Автор: Udo Hartmann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-06-07.

Device and methods for reducing peak noise and peak power consumption in semiconductor devices under test

Номер патента: US20200049765A1. Автор: Jong-Tae Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-02-13.

Method for manufacturing honeycomb structure containing silicon carbide

Номер патента: US12103856B2. Автор: Keisuke Kimura,Suguru KODAMA,Taku Nishigaki. Владелец: NGK Insulators Ltd. Дата публикации: 2024-10-01.

Silicon to silicon carbide conversion for ceramic matrix composite fabrication

Номер патента: US12054433B2. Автор: Jiping Zhang,Jonas Opperman,Austin TRAVIS,George JACOBSEN. Владелец: General Atomics Corp. Дата публикации: 2024-08-06.

Silicide joint and method for manufacturing the same

Номер патента: US7807269B2. Автор: Saburo Sano,Akihiro Tsuzuki,Mari Miyano. Владелец: Bridgestone Corp. Дата публикации: 2010-10-05.

Method for purifying silicon carbide

Номер патента: US20220250918A1. Автор: Matthias Hausmann,Wenzel KLIETZ,Josef GARBES. Владелец: Esk Sic GmbH. Дата публикации: 2022-08-11.

A Method for Silicon Carbide Slip Casting and Sintering

Номер патента: LU102184B1. Автор: Changqing Li,Yansong Li,Baoliang Liu. Владелец: Univ Guangdong Petrochem Tech. Дата публикации: 2021-05-12.

Method for making a silicon carbide substrate

Номер патента: US4582561A. Автор: Takeshi Sakurai,Toshinori Ioku. Владелец: Sharp Corp. Дата публикации: 1986-04-15.

Method for manufacturing a fabric and an apparatus for manufacturing a fabric

Номер патента: EP1411161A3. Автор: Yoko Kobayashi,Izumi Takemoto,Tazaemon Kobayashi,Tsumugi Fujita. Владелец: Nugget Co Ltd. Дата публикации: 2004-12-15.

Method of manufacturing silicon carbide single crystal and silicon carbide single crystal

Номер патента: US20240183073A1. Автор: Takahiro Kanda,Soma SAKAKIBARA. Владелец: Mirise Technologies Corp. Дата публикации: 2024-06-06.

Method for manufacturing a packing container having an inlay, insertion device, and packaging machine

Номер патента: US20240270417A1. Автор: Martin Greßler. Владелец: HDG Verpackungsmaschinen GmbH. Дата публикации: 2024-08-15.

Method of making composite articles from silicon carbide

Номер патента: US20200040449A1. Автор: William F Fischer, III,Walter Wrigglesworth, III,Lauren Montgomery. Владелец: Individual. Дата публикации: 2020-02-06.

Silicon to silicon carbide conversion for ceramic matrix composite fabrication

Номер патента: EP4211093A1. Автор: Jiping Zhang,Jonas Opperman,Austin TRAVIS,George JACOBSEN. Владелец: General Atomics Corp. Дата публикации: 2023-07-19.

Apparatus and method for silicon carbide ingot peeling

Номер патента: US20240149494A1. Автор: Yi-Wei Lin,Weng-Jung Lu,Ying-Fang Chang,Pin-Yao Lee. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2024-05-09.

Process for manufacture of glued pressed products and arrangement intended for use therein

Номер патента: WO1986002032A1. Автор: Jarl-Gunnar Salin. Владелец: Ekono Oy. Дата публикации: 1986-04-10.

Method for treating a silicon carbide fibre

Номер патента: US20240279124A1. Автор: Cyril Aymonier,Marie Lefebvre,Adrien Delcamp,Nicolas BISCAY. Владелец: Institut Polytechnique de Bordeaux. Дата публикации: 2024-08-22.

Fluid injection device and method for fabricating and operating thereof

Номер патента: US20080278544A1. Автор: Wai Wang,Chung-Cheng Chou. Владелец: Qisda Corp. Дата публикации: 2008-11-13.

Uncalcined geopolymer-based refractory material and method for its preparation

Номер патента: US11873247B2. Автор: Yuan Fang,Feng Xing,Aoxuan Wang. Владелец: SHENZHEN UNIVERSITY. Дата публикации: 2024-01-16.

Uncalcined geopolymer-based refractory material and method for its preparation

Номер патента: US20230250022A1. Автор: Yuan Fang,Feng Xing,Aoxuan Wang. Владелец: SHENZHEN UNIVERSITY. Дата публикации: 2023-08-10.

Vapor deposition apparatus and techniques using high purity polymer derived silicon carbide

Номер патента: EP4407079A2. Автор: Mark S. Land. Владелец: Pallidus Inc. Дата публикации: 2024-07-31.

Method for manufacturing glass substrate, and glass substrate

Номер патента: US20240308899A1. Автор: Takeaki ONO,Isao Saito,Akihiro Shibata,Takuma Fujiwara,Ryoichi Iida. Владелец: Asahi Glass Co Ltd. Дата публикации: 2024-09-19.

Vapor deposition apparatus and techniques using high purity polymer derived silicon carbide

Номер патента: EP4407079A3. Автор: Mark S. Land. Владелец: Pallidus Inc. Дата публикации: 2024-10-30.

Method for manufacturing vehicle brake disc

Номер патента: US10174801B2. Автор: Yeon Ho Choi,Dong Won Im,Kang YOO,Nam Cheol LEE. Владелец: Dacc Carbon Co Ltd. Дата публикации: 2019-01-08.

Method of production of silicon carbide single crystal

Номер патента: US20060292057A1. Автор: Masateru Nakamura. Владелец: Toyota Motor Corp. Дата публикации: 2006-12-28.

Manufacturing method of silicon carbide ingot

Номер патента: US11859306B2. Автор: Ching-Shan Lin. Владелец: GlobalWafers Co Ltd. Дата публикации: 2024-01-02.

Methods for Preparing Silicon Carbide Powder and Single Crystal Silicon Carbide

Номер патента: US20220371901A1. Автор: Yong Jin Kwon,Il Gon Kim,In Seok Yang. Владелец: HANA MATERIALS Inc. Дата публикации: 2022-11-24.

Method for producing a pore-containing opaque quartz glass

Номер патента: US20170341968A1. Автор: Gerrit Scheich,Christian Schenk,Nadine TSCHOLITSCH. Владелец: Heraeus Quarzglas GmbH and Co KG. Дата публикации: 2017-11-30.

Skin material and method for producing the same

Номер патента: US20200331252A1. Автор: Ryo YAMANE,Yoshiaki TOMASU. Владелец: Toyota Boshoku Corp. Дата публикации: 2020-10-22.

Method for fracking wells using a packer to form primary and secondary fracs and seal intervals for hydraulic fracturing

Номер патента: US09664024B2. Автор: Henry A. Baski. Владелец: Individual. Дата публикации: 2017-05-30.

Method of forming a silicon carbide article

Номер патента: GB1509497A. Автор: . Владелец: Ford Motor Co Ltd. Дата публикации: 1978-05-04.

Method for fabrication of a molecular filter and apparatus formed by the same

Номер патента: WO2002092497A3. Автор: Axel Scherer. Владелец: Axel Scherer. Дата публикации: 2003-07-24.

Method for fabrication of a molecular filter and apparatus formed by the same

Номер патента: WO2002092497A2. Автор: Axel Scherer. Владелец: California Institute of Technology. Дата публикации: 2002-11-21.

Producing method for cylinder block

Номер патента: US20160303773A1. Автор: Takashi Shimura,Shinsuke Sugimoto. Владелец: Toyota Motor Corp. Дата публикации: 2016-10-20.

Methods and apparatus for crosslinking a silicon carbide fiber precursor polymer

Номер патента: EP3019318A1. Автор: Slawomir Rubinsztajn,Peter Kennedy Davis. Владелец: General Electric Co. Дата публикации: 2016-05-18.

Methods and apparatus for crosslinking a silicon carbide fiber precursor polymer

Номер патента: WO2015006025A1. Автор: Slawomir Rubinsztajn,Peter Kennedy Davis. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2015-01-15.

Method for manufacturing a low resistance contact of a semiconductor device

Номер патента: KR20000074281A. Автор: Gwang Jin Mun,Byeong Ryul Park,Myeong Beom Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-12-15.

Systems and methods for visually scrolling through a stack of items displayed on a device

Номер патента: US09779695B2. Автор: Jerome F. Scholler. Владелец: Google LLC. Дата публикации: 2017-10-03.

Method for producing silicon carbide single crystal

Номер патента: US20190301051A1. Автор: Yohei FUJIKAWA,Hidetaka Takaba. Владелец: Showa Denko KK. Дата публикации: 2019-10-03.

Hydrophobic substrates and methods for their production using acyloxysilanes

Номер патента: EP2625234A1. Автор: William James Schulz, Jr.,Kevin Dale Lewis. Владелец: Dow Corning Corp. Дата публикации: 2013-08-14.

Devices and methods for sample analysis

Номер патента: US20230348954A1. Автор: Jaeyoung YANG,Vladimira DATINSKA,Pantea GHEIBI,Keynttisha JEFFERSON. Владелец: Roche Sequencing Solutions Inc. Дата публикации: 2023-11-02.

Thin film transistor array substrate and method for repairing the same

Номер патента: US20060092341A1. Автор: Yi-Jen Chen,Wei-Kai Huang,Chiung-Pin Wang,Chen-Shun Tsai. Владелец: AU OPTRONICS CORP. Дата публикации: 2006-05-04.

Method for producing ghee

Номер патента: CA3127416A1. Автор: Claus Mathiesen,Thomas Veer,Carsten Bräutigam,Wolfgang Gruchot. Владелец: GEA MECHANICAL EQUIPMENT GMBH. Дата публикации: 2020-09-03.

Method for producing ghee

Номер патента: AU2020230013A1. Автор: Claus Mathiesen,Thomas Veer,Carsten Bräutigam,Wolfgang Gruchot. Владелец: GEA MECHANICAL EQUIPMENT GMBH. Дата публикации: 2021-08-19.

Method for producing skin-covered foamed molded article and resulting product

Номер патента: US09636854B2. Автор: Tomoo Tokiwa,Masahiro Gomibuchi. Владелец: JSP Corp. Дата публикации: 2017-05-02.

Process for preparing silicon carbide by carbothermal reduction

Номер патента: US5340417A. Автор: William G. Moore,Raymond P. Roach,Alan W. Weimer,William Rafaniello. Владелец: Dow Chemical Co. Дата публикации: 1994-08-23.

Container and the method for manufacturing same

Номер патента: CA2185316C. Автор: Fu-Ming HUANG. Владелец: Individual. Дата публикации: 1999-12-14.

Method for bottom-up graphene sheet preparation and bandgap engineering

Номер патента: US9102694B1. Автор: Chaoyin Zhou,Tina T. Salguero. Владелец: HRL LABORATORIES LLC. Дата публикации: 2015-08-11.

Method of depositing silicon carbide on a preform to form a ceramic matrix composite

Номер патента: EP3957770A1. Автор: Weiming Lu,Jun NABLE. Владелец: Hamilton Sundstrand Corp. Дата публикации: 2022-02-23.

Method for manufacturing a hole jewel

Номер патента: US20190146416A1. Автор: Pascal CHOPARD-LALLIER,Bruno Besutti,Thierry Cordier. Владелец: Comadur SA. Дата публикации: 2019-05-16.

Locking arm of a carrier connecting rod and method for making the same

Номер патента: EP4344800A1. Автор: Chiu Kuei Wang. Владелец: King Rack Industrial Co Ltd. Дата публикации: 2024-04-03.

Locking arm of a carrier connecting rod and method for making the same

Номер патента: AU2023233068A1. Автор: Chiu-Kuei Wang. Владелец: King Rack Industrial Co Ltd. Дата публикации: 2024-04-18.

Locking arm of a carrier connecting rod and method for making the same

Номер патента: US20240124081A1. Автор: Chiu Kuei Wang. Владелец: King Rack Industrial Co Ltd. Дата публикации: 2024-04-18.

Method for manufacturing a multicolor antique wood flooring

Номер патента: US20080090009A1. Автор: Jianguo Xu. Владелец: Individual. Дата публикации: 2008-04-17.

Method for rendering visible the line of separation between cation exchangers and anion exchangers in mixed-bed filters

Номер патента: US4666608A. Автор: Friedrich Martinola. Владелец: Bayer AG. Дата публикации: 1987-05-19.

Method for oxidation of cellulose

Номер патента: WO2019116245A1. Автор: Adrianna Svensson. Владелец: STORA ENSO OYJ. Дата публикации: 2019-06-20.

Infant wrap and method for use

Номер патента: US8020217B2. Автор: David M Moore,Peggy Razor Clevinger. Владелец: Individual. Дата публикации: 2011-09-20.

Method for oxidation of cellulose

Номер патента: SE1751540A1. Автор: Adrianna Svensson. Владелец: STORA ENSO OYJ. Дата публикации: 2019-06-14.

Seal cartridge assembly and method for mounting a seal cartridge assembly

Номер патента: US12117082B2. Автор: Giampiero MARINELLI. Владелец: Siemens Energy Global GmbH and Co KG. Дата публикации: 2024-10-15.

Pultrusion process for manufacturing a fibre reinforced composite article for a wind turbine blade

Номер патента: WO2024223616A1. Автор: Jeppe Bjørn JØRGENSEN. Владелец: LM WIND POWER A/S. Дата публикации: 2024-10-31.

ECO-FRIENDLY METHOD FOR MANUFACTURING OF POROUS SILICON CARBIDE STRUCTURE

Номер патента: US20190144287A1. Автор: PARK Sang Whan,YOUM Mi Rae,YUN Sung Il. Владелец: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY. Дата публикации: 2019-05-16.

Methods and systems for manufacturing an axle

Номер патента: CA2670656C. Автор: James A. Cowan,Richard Gerard Potje,Timothy Dunagan,Jon Edmond Meyer. Владелец: American Railcar Industries Inc. Дата публикации: 2018-03-20.

Tool insert, mould tool or core tool and method for producing moulds or cores

Номер патента: US20200391279A1. Автор: Wolfram Bach. Владелец: Soplain GmbH. Дата публикации: 2020-12-17.

Method of strengthening silicon carbide coated boron filaments

Номер патента: US3698970A. Автор: Francis S Galasso,Bernarr A Jacob,Jane P Pinto. Владелец: United Aircraft Corp. Дата публикации: 1972-10-17.

Facility and method for manufacturing a rotor blade of a wind turbine and method for setting up the facility

Номер патента: EP2633185A2. Автор: Karsten Schibsbye. Владелец: SIEMENS AG. Дата публикации: 2013-09-04.

Method for manufacturing dispersion and liquid mixing device

Номер патента: US20090318586A1. Автор: Tetsuo Hino,Takayuki Teshima,Yukio Hanyu. Владелец: Canon Inc. Дата публикации: 2009-12-24.

Basin, elements and method for manufacturing such a basin

Номер патента: EP2126249A2. Автор: Johannes Gommert Van Der Klooster. Владелец: Nepro Europe BV. Дата публикации: 2009-12-02.

Method for producing catalyst

Номер патента: WO1993022051A1. Автор: Sointu Ravola,Matti Härkönen,Matti Kivioja. Владелец: Kemira Oy. Дата публикации: 1993-11-11.

Method for producing peptides

Номер патента: US20110262962A1. Автор: Shunichi Suzuki,Kenzo Yokozeki,Isao Abe,Sonoko Takeuchi,Nobuhiro Hiratsuka. Владелец: Ajinomoto Co Inc. Дата публикации: 2011-10-27.

System and method for producing microcrystalline cellulose

Номер патента: US20240199764A1. Автор: Kari Peltonen,Kari Vanhatalo,Hannu RÅMARK,Sonja Päärnilä. Владелец: ANDRITZ OY. Дата публикации: 2024-06-20.

Apparatuses and methods for controlling wordlines and sense amplifiers

Номер патента: US09984739B2. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2018-05-29.

System and method for printing a pattern on footwear

Номер патента: US09918520B2. Автор: Oliver Ma,William Paul Lambert,Otto Ting,Patrick Liao. Владелец: Individual. Дата публикации: 2018-03-20.

Hot pressed silicon carbide

Номер патента: US3853566A. Автор: S Prochazka. Владелец: General Electric Co. Дата публикации: 1974-12-10.

Plasma arc sintering of silicon carbide

Номер патента: CA1277487C. Автор: Viswanathan Venkateswaran,Jonathan J. Kim,Richard C. Phoenix. Владелец: Stemcor Corp. Дата публикации: 1990-12-11.

Costumes with semi-rigid fabric components and method for manufacture of same

Номер патента: CA2237308C. Автор: Marc P. Beige,John G. Kearns. Владелец: Rubies Costume Co. Дата публикации: 2002-06-11.

Method for manufacturing a plastic material item and item made using such method

Номер патента: EP2285459A1. Автор: Valerio Aprile Di Cimia. Владелец: Produzioni Editoriali Aprile SpA. Дата публикации: 2011-02-23.

Method for manufacturing a plastic material item and item made using such method

Номер патента: WO2009122320A1. Автор: Valerio Aprile Di Cimia. Владелец: Produzioni Editoriali Aprile S.P.A.. Дата публикации: 2009-10-08.

Needle tip shielding device, process for manufacturing a thermoplasticelement

Номер патента: US20240066813A1. Автор: Per Knutsson. Владелец: GREINER BIO-ONE GmbH. Дата публикации: 2024-02-29.

Vapor Deposition Apparatus and Techniques Using High Purity Polymer Derived Silicon Carbide

Номер патента: US20240190710A1. Автор: Mark S. Land. Владелец: Pallidus Inc. Дата публикации: 2024-06-13.

Apparatus for producing silicon carbide crystal

Номер патента: US20240068124A1. Автор: Chih-Lung Lin,Chung-Hao LIN,Po-Fei Yang,Chie-Sheng LIU,Hsin-Chen Yeh,Hao-Wen Wu. Владелец: Hermes Epitek Corp. Дата публикации: 2024-02-29.

Method for manufacturing construction material and structure thereof

Номер патента: US20030115828A1. Автор: Shu Li. Владелец: Individual. Дата публикации: 2003-06-26.

Method for preparing a packaging machine

Номер патента: WO2020182543A1. Автор: Filippo Ferrarini,Claudio Ferrari,Marco Carreri,Claudio RONCAGLIA. Владелец: TETRA LAVAL HOLDINGS & FINANCE S.A.. Дата публикации: 2020-09-17.

Stable three-dimensional blood vessels and methods for forming the same

Номер патента: US11932876B2. Автор: Shahin Rafii,Brisa PALIKUQI. Владелец: CORNELL UNIVERSITY. Дата публикации: 2024-03-19.

Method for continuous production of high quality graphene

Номер патента: US20170267534A1. Автор: Avetik Harutyunyan. Владелец: Honda Motor Co Ltd. Дата публикации: 2017-09-21.

Method for continuous production of high quality graphene

Номер патента: US20190211443A1. Автор: Avetik Harutyunyan. Владелец: Honda Motor Co Ltd. Дата публикации: 2019-07-11.

Method for synthesizing mesoporous nano-sized ultra-stable y zeolite

Номер патента: US20240173702A1. Автор: Lianhui Ding,Faisal Alotaibi. Владелец: Saudi Arabian Oil Co. Дата публикации: 2024-05-30.

Method for synthesizing mesoporous nano-sized ultra-stable Y zeolite

Номер патента: US12030040B2. Автор: Lianhui Ding,Faisal Alotaibi. Владелец: Saudi Arabian Oil Co. Дата публикации: 2024-07-09.

METHODS FOR FABRICATING AND ETCHING POROUS SILICON CARBIDE STRUCTURES

Номер патента: US20220178043A1. Автор: Sharifi Fred,Cannara Rachel,Mullen Emma Rae. Владелец: . Дата публикации: 2022-06-09.

Method for preparation of n-phosphonomethylglycine

Номер патента: CA1228597A. Автор: Raymond A. Felix. Владелец: Stauffer Chemical Co. Дата публикации: 1987-10-27.

Systems and methods for continuous health monitoring using an opto-enzymatic analyte sensor

Номер патента: EP3344261A1. Автор: Troy M. Bremer. Владелец: Metronom Health Inc. Дата публикации: 2018-07-11.

A method for producing a microstructure of crystalline SiC

Номер патента: EP2258655B1. Автор: Christian Vieider,Adolf SCHÖNER. Владелец: Acreo AB. Дата публикации: 2012-04-25.

Method for forming reversible colloidal gas or liquid aphrons and compositions produced

Номер патента: US6022727A. Автор: Alec B. Scranton,Robert Mark Worden. Владелец: Michigan State University MSU. Дата публикации: 2000-02-08.

Colored and fired film and method for producing the same

Номер патента: US6068939A. Автор: Kazuo Goto,Jun Kawaguchi,Shigehiko Hayashi,Yasunori Shiraishi,Yoshifumi Maeda. Владелец: Mitsuboshi Belting Ltd. Дата публикации: 2000-05-30.

Method for growing single crystal of silicon carbide

Номер патента: EP1803840B1. Автор: Kotaro Yano,Isamu Yamamoto,Nobuyuki Nagato,Masashi Shigeto. Владелец: Showa Denko KK. Дата публикации: 2009-02-04.

Method for enhancing catalytic performance of silicon carbide film material by acid etching

Номер патента: CN115448726A. Автор: 邢卫红,仲兆祥,陈嘉豪,曾毅清. Владелец: NANJING TECH UNIVERSITY. Дата публикации: 2022-12-09.

Skin material and method for producing the same

Номер патента: US11840053B2. Автор: Ryo YAMANE,Yoshiaki TOMASU. Владелец: Toyota Boshoku Corp. Дата публикации: 2023-12-12.

Method for lignin purification

Номер патента: CA3127737C. Автор: Panu Tikka,Eric Enqvist,Ville Tarvo. Владелец: Ligneasy Oy. Дата публикации: 2023-10-17.

Method for Lignin Purification

Номер патента: SE2130213A1. Автор: Panu Tikka,Eric Enqvist,Ville Tarvo. Владелец: Scitech Service Oy. Дата публикации: 2021-08-04.

Method for lignin purification

Номер патента: FI20215920A1. Автор: Panu Tikka,Eric Enqvist,Ville Tarvo. Владелец: Scitech Service Oy. Дата публикации: 2021-09-02.

Method for lignin purification

Номер патента: US20220127295A1. Автор: Panu Tikka,Eric Enqvist,Ville Tarvo. Владелец: Scitech-Service Oy. Дата публикации: 2022-04-28.

Method for lignin purification

Номер патента: WO2020161397A1. Автор: Panu Tikka,Eric Enqvist,Ville Tarvo. Владелец: Scitech-Service Oy. Дата публикации: 2020-08-13.

Method for Lignin Purification

Номер патента: SE546154C2. Автор: Panu Tikka,Eric Enqvist,Ville Tarvo. Владелец: Ligneasy Oy. Дата публикации: 2024-06-11.

Method for forming a silicon compound

Номер патента: WO2010100330A1. Автор: Ali Harlin,Karita Kinnunen,Petri Uusi-Kyyny,Thaddeus Maloney,Jonas Roininen. Владелец: Oy Keskuslaboratorio - Centrallaboratorium Ab. Дата публикации: 2010-09-10.

Method for preventing corrosion of a reinforced concrete structure

Номер патента: CA2094872C. Автор: Takayuki Sato,Akio Furuya,Toshimoto Tsuji. Владелец: Dai Nippon Toryo KK. Дата публикации: 2001-07-03.

Systems and methods for inspection of specimen surfaces

Номер патента: US20040032581A1. Автор: Guoheng Zhao,Mehrdad Nikoonahad,Kalman Kele. Владелец: KLA Tencor Technologies Corp. Дата публикации: 2004-02-19.

Element for manufacturing furniture drawers

Номер патента: US5927841A. Автор: Carlo Migli,Franco Ferrari. Владелец: Individual. Дата публикации: 1999-07-27.

Device and method for extracting terrain boundary

Номер патента: AU2018253470A1. Автор: Qiankun Wang. Владелец: Beijing Goldwind Science and Creation Windpower Equipment Co Ltd. Дата публикации: 2019-02-14.

Method for calcining radioactive wastes

Номер патента: CA1107073A. Автор: Jack L. Mcelroy,William J. Bjorklund,John E. Mendel. Владелец: Exxon Nuclear Co Inc. Дата публикации: 1981-08-18.

Method for inspecting and manufacturing silicon single crystal

Номер патента: KR101349736B1. Автор: 다이스케 야마시타. Владелец: 가부시키가이샤 사무코. Дата публикации: 2014-01-10.

Method for constructing map for mower, storage medium, mower, and mobile terminal

Номер патента: AU2023204327A1. Автор: Zhuo Yao,Shaoguang Zhang,Degan LIN. Владелец: Willand Beijing Technology Co Ltd. Дата публикации: 2024-01-25.

Method for constructing a closed steel sheet structure and closed steel sheet structure

Номер патента: EP3938593A1. Автор: Virginijus KIRTIKLIS,Laimonas LUI YS,Art ras GE AS. Владелец: PEIKKO GROUP OY. Дата публикации: 2022-01-19.

Metal particles and method for preparation thereof using electroerosion dispersion

Номер патента: US20220274171A1. Автор: Mykola MONASTYROV. Владелец: Individual. Дата публикации: 2022-09-01.

Devices and methods for sample analysis

Номер патента: EP4127219A1. Автор: Jaeyoung YANG,Vladimira DATINSKA,Pantea GHEIBI,Keynttisha JEFFERSON. Владелец: F Hoffmann La Roche AG. Дата публикации: 2023-02-08.

Method for preparing biodegradable polyester elastomer

Номер патента: US11186680B2. Автор: Jane Wang,Ken-Sen Chou,Sung-Nien Hsu. Владелец: National Tsing Hua University NTHU. Дата публикации: 2021-11-30.

Systems and methods for synthesis of zsm-22 zeolite

Номер патента: EP4041684A1. Автор: Ki-Hyouk Choi,Emad Naji Al-Shafei,Zain Hassan Yamani,Oki MURAZA,Anas Karrar JAMIL. Владелец: Saudi Arabian Oil Co. Дата публикации: 2022-08-17.

Method for the manufacture of fibrous yarn

Номер патента: US20150259830A1. Автор: Juha Salmela,Harri Kiiskinen,Antti Oksanen. Владелец: Spinnova Oy. Дата публикации: 2015-09-17.

Metal particles and method for preparation thereof using electroerosion dispersion

Номер патента: CA3149353A1. Автор: Mykola MONASTYROV. Владелец: Individual. Дата публикации: 2021-02-04.

Metal particles and method for preparation thereof using electroerosion dispersion

Номер патента: EP4003626A1. Автор: Mykola MONASTYROV. Владелец: Individual. Дата публикации: 2022-06-01.

Metal particles and method for preparation thereof using electroerosion dispersion

Номер патента: WO2021019303A1. Автор: Mykola MONASTYROV. Владелец: MONASTYROV Mykola. Дата публикации: 2021-02-04.

Method for preparing polyol fatty acid polyesters by transesterification

Номер патента: US5596085A. Автор: Gerard L. Hasenhuettl,Richard S. Silver. Владелец: Kraft Foods Inc. Дата публикации: 1997-01-21.

Cement for medical use, method for producing the cement, and use of the cement

Номер патента: US6075067A. Автор: Lars Ake Alvar Lidgren. Владелец: Corpipharm GmbH and Co. Дата публикации: 2000-06-13.

Method for the manufacture of a contact body for the exchange of moisture or heat

Номер патента: US5500402A. Автор: Hakan Vangbo. Владелец: Carl Munters AB. Дата публикации: 1996-03-19.

Method for fabricating buried waveguides

Номер патента: CA2007001A1. Автор: Alfred R. Cooper. Владелец: Polaroid Corp. Дата публикации: 1990-07-23.

Liquid chromatography packing materials and method for making and using same

Номер патента: CA1316901C. Автор: Dwight E. Williams. Владелец: Dow Corning Corp. Дата публикации: 1993-04-27.

Method for Producing a Glass Coating on a Substrate

Номер патента: US20190316259A1. Автор: Siegfried Piesslinger-Schweiger,Olaf Boehme. Владелец: Sepies GmbH. Дата публикации: 2019-10-17.

Method for reducing variations in print density

Номер патента: EP1465774B1. Автор: Eyal Gargir. Владелец: Hewlett Packard Industrial Printing Ltd. Дата публикации: 2009-12-02.

Method for producing polyfunctional sulfur-containing epoxy compound

Номер патента: EP3816159A1. Автор: Hiroshi Horikoshi,Yousuke IMAGAWA. Владелец: Mitsubishi Gas Chemical Co Inc. Дата публикации: 2021-05-05.

Method for producing polyfunctional sulfur-containing epoxy compound

Номер патента: US20210024478A1. Автор: Hiroshi Horikoshi,Yousuke IMAGAWA. Владелец: Mitsubishi Gas Chemical Co Inc. Дата публикации: 2021-01-28.

Method for reducing variations in print density

Номер патента: WO2003059634A3. Автор: Eyal Gargir. Владелец: FRIEDMAN Mark M. Дата публикации: 2004-06-10.

Method for producing radioactive metal complex

Номер патента: AU2020332618A1. Автор: Akihiro Izawa,Tomoyuki Imai,Masato Kiriu. Владелец: Nihon Medi Physics Co Ltd. Дата публикации: 2022-02-24.

Method for continuously synthesizing propellane compound

Номер патента: US11858873B2. Автор: Hao Hong,Jiangping Lu,Enxuan ZHANG,Fuliang WEI,Sihang YANG. Владелец: Asymchem Laboratories Jilin Co Ltd. Дата публикации: 2024-01-02.

Method for constructing map for mower, storage medium, mower, and mobile terminal

Номер патента: US11917938B2. Автор: Zhuo Yao,Shaoguang Zhang,Degan LIN. Владелец: Willand Beijing Technology Co Ltd. Дата публикации: 2024-03-05.

Apparatus and method for inserting thermal insulating box liners

Номер патента: US11897225B2. Автор: Martin Taillon,Tommy Damphousse,Dominic Ebacher,Simon Provencher. Владелец: CASCADES CANADA ULC. Дата публикации: 2024-02-13.

Method for reducing variations in print density

Номер патента: WO2003059634A2. Автор: Eyal Gargir. Владелец: Aprion Digital Ltd.. Дата публикации: 2003-07-24.

Method for reducing variations in print density

Номер патента: EP1465774A2. Автор: Eyal Gargir. Владелец: Scitex Vision Ltd. Дата публикации: 2004-10-13.

Method for reducing variations in print density

Номер патента: EP1465774A4. Автор: Eyal Gargir. Владелец: Hewlett Packard Industrial Printing Ltd. Дата публикации: 2009-02-25.

Method for constructing map for mower, storage medium, mower, and mobile terminal

Номер патента: US20240008397A1. Автор: Zhuo Yao,Shaoguang Zhang,Degan LIN. Владелец: Willand Beijing Technology Co Ltd. Дата публикации: 2024-01-11.

Method for constructing map for mower, storage medium, mower, and mobile terminal

Номер патента: CA3205448A1. Автор: Zhuo Yao,Shaoguang Zhang,Degan LIN. Владелец: Willand Beijing Technology Co Ltd. Дата публикации: 2023-09-18.

Method for constructing map for mower, storage medium, mower, and mobile terminal

Номер патента: EP4303686A1. Автор: Zhuo Yao,Shaoguang Zhang,Degan LIN. Владелец: Willand Beijing Technology Co Ltd. Дата публикации: 2024-01-10.

Method for continuous synthesis of propellane compounds

Номер патента: EP3988520A1. Автор: Hao Hong,Jiangping Lu,Enxuan ZHANG,Fuliang WEI,Sihang YANG. Владелец: Asymchem Laboratories Jilin Co Ltd. Дата публикации: 2022-04-27.

Method for the production of frozen fluid food products

Номер патента: EP1704784A1. Автор: Oreste Caselli,Marco Loschi. Владелец: Barilla G e R Fratelli SpA. Дата публикации: 2006-09-27.

Method for servicing a gas turbine air intake system

Номер патента: EP1790409A3. Автор: Michael Handley,Jim C. Rothman,Thomas D. Raether,Tim Sporre. Владелец: Donaldson Co Inc. Дата публикации: 2007-06-13.

Method for evaluating residual fatigue life of mechanical parts

Номер патента: US4709383A. Автор: Takashi Konishi,Touru Goto. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 1987-11-24.

Method for evaluating residual fatigue life of mechanical parts

Номер патента: CA1244151A. Автор: Takashi Konishi,Touru Goto. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 1988-11-01.

Method for the preparation of sevoflurane

Номер патента: CA2587672A1. Автор: Ross C. Terrell,Joshua A. Levinson,Charles W. Young. Владелец: Individual. Дата публикации: 2006-05-26.

Method for the preparation of methyl chloride from carbon tetrachloride and methyl alcohol

Номер патента: US5196618A. Автор: Takaaki Shimizu,Toshihiro Okon. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 1993-03-23.

Methods for treating a divided cheese product and compositions thereof

Номер патента: US11889845B2. Автор: Andrea Stange. Владелец: Allied Blending LP. Дата публикации: 2024-02-06.

Methods for treating a divided cheese product and compositions thereof

Номер патента: US20210084923A1. Автор: Andrea Stange. Владелец: Allied Blending LP. Дата публикации: 2021-03-25.

Method for for manufacturing reinforcing fabric for a transmission belt

Номер патента: EP3263947B1. Автор: Toshihiro Nishimura,Taisuke Kimura,Masakuni Yoshida. Владелец: Mitsuboshi Belting Ltd. Дата публикации: 2023-12-06.

Systems and methods for modifying feed timing for image receiving media in an image forming device

Номер патента: US20090180787A1. Автор: Henry T. Bober. Владелец: Xerox Corp. Дата публикации: 2009-07-16.

Method for producing radioactive metal complex

Номер патента: CA3148288A1. Автор: Akihiro Izawa,Tomoyuki Imai,Masato Kiriu. Владелец: Nihon Medi Physics Co Ltd. Дата публикации: 2021-02-25.

Method for making a laminate

Номер патента: US20110048623A1. Автор: Hsien-Sung Cheng,Hsien-Te Cheng. Владелец: XXENTRIA Tech MATERIALS Co Ltd. Дата публикации: 2011-03-03.

Aquaculture feed and method for producing same

Номер патента: EP4280892A1. Автор: Luciaan Van Nieuwenhove. Владелец: Pearl Aqua Co Ltd. Дата публикации: 2023-11-29.

Methods for treating a divided cheese product and compositions thereof

Номер патента: US20240122195A1. Автор: Andrea Stange. Владелец: Allied Blending LP. Дата публикации: 2024-04-18.

System, device, and method for mitigating bacterial biofilms associated with indwelling medical devices

Номер патента: US20230381352A1. Автор: Brian Murphy. Владелец: NanoVibronix Inc. Дата публикации: 2023-11-30.

DEVICE AND METHODS FOR REDUCING PEAK NOISE AND PEAK POWER CONSUMPTION IN SEMICONDUCTOR DEVICES UNDER TEST

Номер патента: US20200049765A1. Автор: HWANG Jong-Tae. Владелец: . Дата публикации: 2020-02-13.

Method for measuring aberation of lens in exposing device of semiconductor device

Номер патента: KR100682177B1. Автор: 김희범. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-12.

Production method for exposure mask, exposure mask, and production method of semiconductor device

Номер патента: WO2003071590A1. Автор: Minoru Sugawara. Владелец: SONY CORPORATION. Дата публикации: 2003-08-28.

PUMPING METHOD FOR ARTIFICIAL REINK OF TWO LIQUIDS IN EQUAL QUANTITIES AND PUMPING DEVICE BY APPLYING

Номер патента: FR2605228A1. Автор: Jean-Loup Coriial. Владелец: Hospal Industrie SAS. Дата публикации: 1988-04-22.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US20200363978A1. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2020-11-19.

Apparatuses and methods for accessing hybrid memory system

Номер патента: US11449258B2. Автор: Kazuhiko Kajigaya. Владелец: Micron Technology Inc. Дата публикации: 2022-09-20.

METHOD FOR ESTIMATING THE END OF LIFETIME FOR A POWER SEMICONDUCTOR DEVICE

Номер патента: US20140125366A1. Автор: Rannestad Bjørn,Thøgersen Paul Bach. Владелец: KK-ELECTRONIC A/S. Дата публикации: 2014-05-08.

SYSTEMS AND METHODS FOR DEPOPULATING PINS FROM CONTACTOR TEST SOCKETS FOR PACKAGED SEMICONDUCTOR DEVICES

Номер патента: US20180106835A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2018-04-19.

Systems And Methods For Predicting And Managing Power And Energy Use Of Semiconductor Devices

Номер патента: US20210157966A1. Автор: Huilgol Ninad,Crowl Lawrence. Владелец: . Дата публикации: 2021-05-27.

Systems and Methods for Depopulating Pins from Contactor Test Sockets for Packaged Semiconductor Devices

Номер патента: US20200141974A1. Автор: Tong Kay Chan,Ata Hisashi,Shwe Thiha. Владелец: . Дата публикации: 2020-05-07.

Circuit and method for reducing number of data input and output and semiconductor device

Номер патента: JPH1152028A. Автор: Gyu-Hong Kim,金奎泓. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-02-26.

Method for forming the reticle bit line bottom plug of semiconductor device

Номер патента: KR100431991B1. Автор: 김광철,김문회,최상태. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-05-22.

Method for forming photomask pattern to control critical Demension of semiconductor device

Номер патента: KR101096979B1. Автор: 한덕선,김미혜. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-12-20.

Method for extracting the distribution of charge stored in a semiconductor device

Номер патента: WO2006128922A1. Автор: Arnaud Furnémont. Владелец: Interuniversitair Microelektronica Centrum Vzw. Дата публикации: 2006-12-07.

Method for manufacturing silicon carbide single crystal

Номер патента: US20160340796A1. Автор: Akira Matsushima,Tsutomu Hori,Shunsaku UETA. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2016-11-24.

Method and device for manufacturing silicon carbide single-crystal

Номер патента: US20130239881A1. Автор: Shin Harada,Makoto Sasaki,Hiroki Inoue,Shinsuke Fujiwara. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2013-09-19.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001200A1. Автор: Yanagihara Manabu,Uemoto Yasuhiro,IKOSHI Ayanori,MORITA TATSUO. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

Method for Producing a Ceramic Filter Element

Номер патента: US20120001371A1. Автор: Linhart Jochen,Lichtenwalter Kathrin,FISCHER KATHRIN,Otterbach Sabine. Владелец: MANN+HUMMEL GMBH. Дата публикации: 2012-01-05.

Silicon carbide-based refractory material

Номер патента: RU2232736C2. Автор: Б.А. Гнесин. Владелец: ИНСТИТУТ ФИЗИКИ ТВЕРДОГО ТЕЛА РАН. Дата публикации: 2004-07-20.

System and method for heating and forming thermoplastic material

Номер патента: CA1065570A. Автор: Richard F. Mulvany (Jr.). Владелец: Individual. Дата публикации: 1979-11-06.

Method for removing surface contaminant of silicon carbide wafer and silicon carbide wafer

Номер патента: JPH10199848A. Автор: Makoto Saito,誠 斉藤,Fusao Fujita,房雄 藤田. Владелец: ADOMATSUPU KK. Дата публикации: 1998-07-31.

METHODS FOR THE EPITAXIAL GROWTH OF SILICON CARBIDE

Номер патента: US20130062628A1. Автор: DAS Hrishikesh,SUNKARI Swapna,OLDHAM Timothy,CASADY Janna B.. Владелец: SEMISOUTH LABORATORIES, INC.. Дата публикации: 2013-03-14.

Method for preparing fine powder of silicon carbide

Номер патента: CN1951574A. Автор: 夏玉策. Владелец: Individual. Дата публикации: 2007-04-25.

Method for producing long fiber reinforced silicon carbide composite material

Номер патента: JP3140701B2. Автор: 梅澤正信,薫田幸一郎. Владелец: Nippon Carbon Co Ltd. Дата публикации: 2001-03-05.

Method for preparation of graphite surface silicon carbide coating

Номер патента: CN102850085A. Автор: 孙鹏飞,孙丰武,单连文. Владелец: World Qingdao Carbon Element Co Ltd. Дата публикации: 2013-01-02.

Flotation device and method for removing free carbon in silicon carbide powder

Номер патента: CN105127009A. Автор: 郭世杰. Владелец: LIANYUNGANG ROTA ABRASIVES Co Ltd. Дата публикации: 2015-12-09.

Method for preparing high-density defect silicon carbide nanowire

Номер патента: CN103332692A. Автор: 朱嘉琦,杨振怀,韩杰才,于海玲. Владелец: Harbin Institute of Technology. Дата публикации: 2013-10-02.

Method for producing silicon nitride-bonded silicon carbide refractory

Номер патента: JP2781440B2. Автор: 茂 半澤,敏之 伊藤. Владелец: NGK Adrec Co Ltd. Дата публикации: 1998-07-30.

Method for cleaning sintered compact of silicon carbide

Номер патента: JP2006187680A. Автор: Sho Kumagai,祥 熊谷,賢司 沖野,Kenji Okino. Владелец: Bridgestone Corp. Дата публикации: 2006-07-20.

Method for deposition of nitrogen-doped silicon carbide film

Номер патента: CN102456568A. Автор: 徐强,张文广,郑春生,陈玉文. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2012-05-16.

Method for removing silicon dioxide (SiO2) component in silicon carbide micropowder

Номер патента: CN102502637A. Автор: 刘来宝. Владелец: JIANGSU JIAYU RESOURCE UTILIZATION CO Ltd. Дата публикации: 2012-06-20.

Method for making a concrete block

Номер патента: CA1204585A. Автор: William L. Harbaugh,Raymond S. Smetana. Владелец: BURRELL MINING PRODUCTS INTERNATIONAL Inc. Дата публикации: 1986-05-20.

Method for preparing halosily carbamates and isocyanates derived therefrom

Номер патента: CA1108174A. Автор: Spyros Theodoropulos,Eddie Hedaya. Владелец: Union Carbide Corp. Дата публикации: 1981-09-01.

A method for synthesizing capped ultrafine silver nanoparticles

Номер патента: MY194959A. Автор: Binti Jusoh Rohayu. Владелец: Univ Malaysia Pahang. Дата публикации: 2022-12-28.

METHOD FOR FORMING MASKING LAYER BY USING ION IMPLANTATION AND SEMICONDUCTOR DEVICE FABRICATED BY USING THE SAME

Номер патента: US20120009787A1. Автор: . Владелец: . Дата публикации: 2012-01-12.

Method for correcting error of alignment measurement and production of semiconductor device

Номер патента: JPH1089921A. Автор: Yoshikatsu Tomimatsu,喜克 富松. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-04-10.

Method for improving critical size differences of different areas of semiconductor device

Номер патента: CN103295894A. Автор: 秦伟,高慧慧,杨渝书. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2013-09-11.

Method for forming isolation of air buffer trench elements in semiconductor devices

Номер патента: KR19980085786A. Автор: 김성의. Владелец: 윤종용. Дата публикации: 1998-12-05.

Method for measuring gate-to-body current of metal oxide semiconductor device

Номер патента: TW546484B. Автор: Ke-Wei Su,Jau-Kang He. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2003-08-11.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

Solar Cell And Method For Manufacturing Solar Cell

Номер патента: US20120000512A1. Автор: HASHIMOTO Masanori,SAITO Kazuya,SHIMIZU Miho. Владелец: . Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001169A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001168A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

Large Area Nitride Crystal and Method for Making It

Номер патента: US20120000415A1. Автор: Speck James S.,"DEvelyn Mark P.". Владелец: Soraa, Inc.. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001335A1. Автор: ENDO Yuta,TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001342A1. Автор: . Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.