Method for atomic layer deposition

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

The method for depositing a atomic layer on the large substrate

Номер патента: KR20150081590A. Автор: 신웅철,최규정,백민. Владелец: 주식회사 엔씨디. Дата публикации: 2015-07-15.

Improved methods for atomic layer deposition

Номер патента: WO2008010941A3. Автор: Ce Ma,Qing Min Wang,Graham McFarlane,Patrick J Helly. Владелец: Patrick J Helly. Дата публикации: 2008-07-31.

Methods for depositing metallic iridium and iridium silicide

Номер патента: US20200131633A1. Автор: Feng Q. Liu,Hua Chung,Schubert Chu. Владелец: Applied Materials Inc. Дата публикации: 2020-04-30.

Laminate, barrier film and method for manufacturing these

Номер патента: US09957613B2. Автор: Hiroshi Koyama,Mitsuru Kano,Jin Sato. Владелец: Toppan Printing Co Ltd. Дата публикации: 2018-05-01.

Apparatus for atomic layer deposition

Номер патента: KR101072670B1. Автор: 박성현. Владелец: 주식회사 케이씨텍. Дата публикации: 2011-10-11.

Atomic layer deposition encapsulation for acoustic wave devices

Номер патента: US20120091855A1. Автор: Merrill Albert Hatcher, JR.,John Robert Siomkos,Jayanti Jaganatha Rao. Владелец: RF Micro Devices Inc. Дата публикации: 2012-04-19.

Coating fabric substrate by deposition of atomic layers

Номер патента: RU2600462C2. Автор: Свен ЛИНДФОРС. Владелец: Пикосан Ой. Дата публикации: 2016-10-20.

Atomic layer deposition method of metal (ii), (0), or (iv) containing film layer

Номер патента: EP4034689A1. Автор: James. D PARISH,Andrew. L JOHNSON. Владелец: University of Bath. Дата публикации: 2022-08-03.

Atomic layer deposition method of metal (ii), (0), or (iv) containing film layer

Номер патента: US20220356576A1. Автор: Andrew L. Johnson,James D. PARISH. Владелец: University of Bath. Дата публикации: 2022-11-10.

Atomic layer deposition method of metal (II), (0), or (IV) containing film layer

Номер патента: GB2587401A9. Автор: D Parish James,L Johnson Andrew. Владелец: University of Bath. Дата публикации: 2024-01-17.

Method for manufacturing laminate and method for manufacturing liquid discharge head

Номер патента: US20180312971A1. Автор: Yoshiyuki Fukumoto,Ryoji Kanri,Atsunori Terasaki. Владелец: Canon Inc. Дата публикации: 2018-11-01.

METHOD FOR DEPOSITION OF ATOMIC LAYER AND DEVICE CONTAINING A PHOTON SOURCE

Номер патента: RU2017124606A. Автор: Тимо МАЛИНЕН. Владелец: Пикосан Ой. Дата публикации: 2019-01-24.

Methods for preparing thin fillms by atomic layer deposition using hydrazines

Номер патента: WO2011115878A4. Автор: Paul Williams,Simon Rushworth. Владелец: SIGMA-ALDRICH CO. LLC. Дата публикации: 2011-12-08.

Atomic layer deposition coatings on razor components

Номер патента: US09327416B2. Автор: Neville Sonnenberg,John Madeira. Владелец: Gillette Co LLC. Дата публикации: 2016-05-03.

APPARATUS AND METHOD FOR HIGH-THROUGHPUT ATOMIC LAYER DEPOSITION

Номер патента: US20160102399A1. Автор: Granneman Ernst H.A.,van Nooten Sebastiaan E.. Владелец: . Дата публикации: 2016-04-14.

Apparatus and method for fast-cycle atomic layer deposition

Номер патента: US6773507B2. Автор: Ravi Jallepally,Jun Zhao,Liang-Yuh Chen,Alain Duboust,Daniel A. Carl,Shih-Hung Li. Владелец: Applied Materials Inc. Дата публикации: 2004-08-10.

Method for energy-assisted atomic layer deposition and removal

Номер патента: US20050175789A1. Автор: Sang-In Lee,Yoshihide Senzaki,Kerem Kapkin,Aubrey Helms Jr. Владелец: Helms Jr Aubrey L.. Дата публикации: 2005-08-11.

Method for energy-assisted atomic layer deposition and removal

Номер патента: TW200500491A. Автор: Sang-In Lee,Yoshihide Senzaki,Kerem Kapkin,Aubrey L Helms. Владелец: ASML US Inc. Дата публикации: 2005-01-01.

Device and method of atomic-layer deposition of coating on substrate surface

Номер патента: RU2704875C2. Автор: Тимо МАЛИНЕН. Владелец: Пикосан Ой. Дата публикации: 2019-10-31.

Method for atomic layer deposition

Номер патента: US9506144B2. Автор: Hsin-Yi Lee,Ching-Shun Ku. Владелец: NATIONAL SYNCHROTRON RADIATION RESEARCH CENTER. Дата публикации: 2016-11-29.

Method and device for atomic layer deposition

Номер патента: RU2702669C2. Автор: Тимо МАЛИНЕН,Юхана КОСТАМО,Вэй-Минь ЛИ,Теро ПИЛЬВИ. Владелец: Пикосан Ой. Дата публикации: 2019-10-09.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: EP4395800A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Individual. Дата публикации: 2024-07-10.

MoS2 thin film and method for manufacturing same

Номер патента: US09863039B2. Автор: Yo-Sep Min. Владелец: University Industry Cooperation Corporation of Konkuk University. Дата публикации: 2018-01-09.

Method for selective deposition and devices

Номер патента: US20110120757A1. Автор: David H. Levy. Владелец: Individual. Дата публикации: 2011-05-26.

Apparatus and method for making atomic layer deposition on fine powders

Номер патента: US09951419B2. Автор: Ying-Bing JIANG,Hongxia Zhang. Владелец: Individual. Дата публикации: 2018-04-24.

Apparatus and method for atomic layer deposition on substrates

Номер патента: US7754013B2. Автор: Ernst H. A. Granneman. Владелец: ASM International NV. Дата публикации: 2010-07-13.

Atomic-layer deposition method using compound gas jet

Номер патента: US20160237564A1. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2016-08-18.

Atomic-layer deposition method using compound gas jet

Номер патента: US09528184B2. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2016-12-27.

Process for low temperature atomic layer deposition of Rh

Номер патента: US20020197814A1. Автор: Stefan Uhlenbrock,Eugene Marsh. Владелец: Individual. Дата публикации: 2002-12-26.

Large scale system for atomic layer deposition

Номер патента: WO2024163509A1. Автор: Andrew BROERMAN,James RAGONESI,Brian Evanko. Владелец: Forge Nano Inc.. Дата публикации: 2024-08-08.

Metamaterial and method for forming a metamaterial using atomic layer deposition

Номер патента: EP2971229A1. Автор: Ando Feyh,Gary Yama,Gary O'brien,Fabian Purkl,John Provine. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2016-01-20.

Chamber undercoat preparation method for low temperature ALD films

Номер патента: US09745658B2. Автор: Hu Kang,Adrien Lavoie,Jun Qian. Владелец: Lam Research Corp. Дата публикации: 2017-08-29.

Single source precursors for atomic layer deposition

Номер патента: GB2439996A. Автор: Anthony Copland Jones. Владелец: Epichem Ltd. Дата публикации: 2008-01-16.

Coating on particles by atomic layer deposition

Номер патента: RU2728343C1. Автор: Марко Пудас. Владелец: Пикосан Ой. Дата публикации: 2020-07-29.

An apparatus and method for processing particulate matter

Номер патента: EP3475462A1. Автор: Markus Bosund,Risto Peltonen. Владелец: BENEQ OY. Дата публикации: 2019-05-01.

Particle coating by atomic layer deposition

Номер патента: US20240183031A1. Автор: Fritz Burkhardt,Stefan Huber,Claude Lerf. Владелец: Merz and Benteli Ag. Дата публикации: 2024-06-06.

Method for producing a film of a ternary or quaternary compound by ALD

Номер патента: SE2150544A1. Автор: Henrik Pedersen,Polla ROUF. Владелец: Polla ROUF. Дата публикации: 2022-10-30.

Method for producing a film of a ternary or quaternary compound by ald

Номер патента: WO2022231494A1. Автор: Henrik Pedersen,Polla ROUF. Владелец: ROUF Polla. Дата публикации: 2022-11-03.

An apparatus for atomic layer deposition

Номер патента: EP3414357A1. Автор: Mikko SÖDERLUND,Pekka Soininen,Paavo Timonen. Владелец: BENEQ OY. Дата публикации: 2018-12-19.

Atomic layer deposition apparatus

Номер патента: FI20195590A1. Автор: Pekka Soininen,Pekka J Soininen,Hulda AMINOFF,Ville MIIKKULAINEN. Владелец: BENEQ OY. Дата публикации: 2020-12-29.

Methods for obtaining hydrophilic fluoropolymers

Номер патента: EP2946028A1. Автор: Christophe Detavernier,Davy Deduytsche,Amit Kumar Roy. Владелец: Universiteit Gent. Дата публикации: 2015-11-25.

Method of forming very reactive metal layers by a high vacuum plasma enhanced atomic layer deposition system

Номер патента: US20160083842A1. Автор: Feng Niu,Peter Chow. Владелец: Individual. Дата публикации: 2016-03-24.

Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Номер патента: WO2023031951A1. Автор: Prerna Goradia,Neil Amit GORADIA. Владелец: Prerna Goradia. Дата публикации: 2023-03-09.

Methods For Atomic Layer Deposition Of SiCO(N) Using Halogenated Silylamides

Номер патента: US20200010954A1. Автор: Mark Saly,Bhaskar Jyoti Bhuyan. Владелец: Applied Materials Inc. Дата публикации: 2020-01-09.

Method of forming very reactive metal layers by a high vacuum plasma enhanced atomic layer deposition system

Номер патента: US09828673B2. Автор: Feng Niu,Peter Chow. Владелец: Svt Associates Inc. Дата публикации: 2017-11-28.

Atomic layer deposition apparatus for powders

Номер патента: US12031208B2. Автор: Jing-Cheng Lin,Jung-Hua Chang,Chia-Cheng Ku. Владелец: Sky Tech Inc. Дата публикации: 2024-07-09.

Atomic layer deposition with in-situ sputtering

Номер патента: WO2024050252A1. Автор: Pulkit Agarwal,Gengwei Jiang,Pei-Chi Liu,Jonathan Grant BAKER. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-03-07.

An atomic layer deposition reaction chamber and an atomic layer deposition reactor

Номер патента: US20240344197A1. Автор: Pekka Soininen,Markus Bosund,Pasi MERILÄINEN,Esko Karppanen. Владелец: BENEQ OY. Дата публикации: 2024-10-17.

Atomic-layer deposition apparatus

Номер патента: US09976216B2. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2018-05-22.

Atomic-layer deposition apparatus using compound gas jet

Номер патента: US09506147B2. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2016-11-29.

Atomic layer deposition apparatus

Номер патента: US09499908B2. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2016-11-22.

Atomic layer deposition of metal phosphates and lithium silicates

Номер патента: US9765431B2. Автор: Mikko Ritala,Markku Leskelä,Timo Hatanpää,Jani Hämäläinen,Jani Holopainen. Владелец: ASM IP Holding BV. Дата публикации: 2017-09-19.

Method for producing a gallium oxide layer on a substrate

Номер патента: US20240309552A1. Автор: Andreas Popp,Walter Haeckl,Ta-Shun Chou. Владелец: SILTRONIC AG. Дата публикации: 2024-09-19.

An atomic layer deposition apparatus

Номер патента: FI20195591A1. Автор: Pekka Soininen,Pekka J Soininen,Hulda AMINOFF,Ville MIIKKULAINEN. Владелец: BENEQ OY. Дата публикации: 2020-12-29.

An atomic layer deposition apparatus

Номер патента: WO2020260770A1. Автор: Pekka Soininen,Pekka J. Soininen,Hulda AMINOFF,Ville MIIKKULAINEN. Владелец: BENEQ OY. Дата публикации: 2020-12-30.

Atomic layer deposition for continuous, high-speed thin films

Номер патента: US12006570B2. Автор: Angel YANGUAS-GIL,Jeffrey W. Elam,Joseph A. Libera. Владелец: UChicago Argonne LLC. Дата публикации: 2024-06-11.

Atomic layer deposition apparatus

Номер патента: US20240263309A1. Автор: Woo-Seok Jeon,Chulmin BAE,Jaehee SEO. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-08-08.

Methods of forming ruthenium-containing films by atomic layer deposition

Номер патента: EP2291548A1. Автор: Ravi Kanjolia,Neil Boag,Rajesh Odedra,Jeff Anthis. Владелец: Sigma Aldrich Co LLC. Дата публикации: 2011-03-09.

Atomic layer deposition apparatus

Номер патента: US09890454B2. Автор: Suk Won Jung,Myung Soo Huh,Choel Min JANG. Владелец: Samsung Display Co Ltd. Дата публикации: 2018-02-13.

Atomic layer deposition method of depositing an oxide on a substrate

Номер патента: US20060257584A1. Автор: Shuang Meng,Danny Dynka,Garo Derderian. Владелец: Individual. Дата публикации: 2006-11-16.

Particle coating by atomic layer deposition

Номер патента: EP4314381A1. Автор: Fritz Burkhardt,Stefan Huber,Claude Lerf. Владелец: Merz and Benteli Ag. Дата публикации: 2024-02-07.

Gas separation control in spatial atomic layer deposition

Номер патента: US11821083B2. Автор: Ning Li,Kevin Griffin,Tai T. Ngo,Steven D. Marcus. Владелец: Applied Materials Inc. Дата публикации: 2023-11-21.

Atomic layer or chemical vapor deposition process for nitride or oxide films

Номер патента: WO2023150265A1. Автор: PRASAD NARHAR GADGIL,Peter Joseph DUSZA. Владелец: PRASAD NARHAR GADGIL. Дата публикации: 2023-08-10.

Atomic layer deposition apparatus for coating on fine powders

Номер патента: US11739423B2. Автор: Jing-Cheng Lin,Jung-Hua Chang,Chia-Cheng Ku,Ta-Hao Kuo. Владелец: Sky Tech Inc. Дата публикации: 2023-08-29.

Atomic layer deposition apparatus for powders

Номер патента: US20220106682A1. Автор: Jing-Cheng Lin,Jung-Hua Chang,Chia-Cheng Ku. Владелец: Sky Tech Inc. Дата публикации: 2022-04-07.

Atomic layer deposition apparatus for coating on fine powders

Номер патента: US20220106685A1. Автор: Jing-Cheng Lin,Jung-Hua Chang,Chia-Cheng Ku,Ta-Hao Kuo. Владелец: Sky Tech Inc. Дата публикации: 2022-04-07.

An atomic layer deposition reaction chamber and an atomic layer deposition reactor

Номер патента: FI20215853A1. Автор: Pekka Soininen,Markus Bosund,Pasi MERILÄINEN,Esko Karppanen. Владелец: BENEQ OY. Дата публикации: 2023-02-14.

Powder atomic layer deposition apparatus for blowing powders

Номер патента: US11987883B2. Автор: Jing-Cheng Lin,Jung-Hua Chang,Chia-Cheng Ku. Владелец: Sky Tech Inc. Дата публикации: 2024-05-21.

Atomic-layer deposition apparatus using compound gas jet

Номер патента: US20160237567A1. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2016-08-18.

Precursor source arrangement and atomic layer deposition apparatus

Номер патента: US12000043B2. Автор: Pekka Soininen,Pekka J. Soininen,Hulda AMINOFF,Ville MIIKKULAINEN. Владелец: BENEQ OY. Дата публикации: 2024-06-04.

An atomic layer deposition reaction chamber and an atomic layer deposition reactor

Номер патента: EP4384649A1. Автор: Pekka Soininen,Markus Bosund,Pasi MERILÄINEN,Esko Karppanen. Владелец: BENEQ OY. Дата публикации: 2024-06-19.

Atomic-layer deposition apparatus

Номер патента: US20160237563A1. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2016-08-18.

Atomic-layer deposition apparatus

Номер патента: US20170051404A1. Автор: Kam Chuen Ng,Ronald Steven Cok,Kurt D. Sieber. Владелец: Eastman Kodak Co. Дата публикации: 2017-02-23.

System and method for atomic layer deposition

Номер патента: US20180274096A1. Автор: Ji Hye Kim,Tae Ho Yoon,Hyung Sang Park. Владелец: Isac Research Inc. Дата публикации: 2018-09-27.

Method for tuning a deposition rate during an atomic layer deposition process

Номер патента: US20140248772A1. Автор: Jiang Lu,Mei Chang,Paul Ma,Joseph F. Aubuchon. Владелец: Applied Materials Inc. Дата публикации: 2014-09-04.

Methods for depositing carbon conducting films by atomic layer deposition

Номер патента: US20240124977A1. Автор: Jean-Sebastien Materne Lehn. Владелец: Micron Technology Inc. Дата публикации: 2024-04-18.

Methods for increasing growth rate during atomic layer deposition of thin films

Номер патента: US09428842B2. Автор: Viljami Pore. Владелец: ASM IP Holding BV. Дата публикации: 2016-08-30.

Atomic layer deposition (ald) device

Номер патента: RU2752059C1. Автор: Марко Пудас,Тимо МАЛИНЕН,Никлас ХОЛМ,Юхана КОСТАМО. Владелец: Пикосан Ой. Дата публикации: 2021-07-22.

Atomic layer deposition on optical structures

Номер патента: US20230212739A1. Автор: Ludovic Godet,Rutger MEYER TIMMERMAN THIJSSEN,Jinrui GUO. Владелец: Applied Materials Inc. Дата публикации: 2023-07-06.

Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process

Номер патента: US20230272527A1. Автор: Atsuki Fukazawa. Владелец: ASM IP Holding BV. Дата публикации: 2023-08-31.

System for atomic layer deposition on flexible substrates and method for the same

Номер патента: WO2017188947A1. Автор: Brian Einstein Lassiter. Владелец: Applied Materials, Inc.. Дата публикации: 2017-11-02.

Atomic layer deposition using tin-based or germanium-based precursors

Номер патента: US20240287677A1. Автор: Jean-Sebastien Materne Lehn. Владелец: Micron Technology Inc. Дата публикации: 2024-08-29.

Atomic layer deposition using tin-based or germanium-based precursors

Номер патента: WO2024173112A1. Автор: Jean-Sebastien Materne Lehn. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2024-08-22.

Method for hydrophobization of surface of silicon-containing film by ALD

Номер патента: US09478414B2. Автор: Akiko Kobayashi,Dai Ishikawa,Akinori Nakano,Kiyohiro Matsushita. Владелец: ASM IP Holding BV. Дата публикации: 2016-10-25.

Scanning mirror and production method for scanning mirror

Номер патента: EP3978987A1. Автор: Takashi Sasaki,Kazuhiro Hane,Yuki Fujita. Владелец: Tohoku University NUC. Дата публикации: 2022-04-06.

Nitride film formed by plasma-enhanced and thermal atomic layer deposition process

Номер патента: US09865455B1. Автор: James Samuel Sims,Kathryn Merced Kelchner. Владелец: Lam Research Corp. Дата публикации: 2018-01-09.

Method and apparatus for forming a substrate web track in an atomic layer deposition reactor

Номер патента: US09745661B2. Автор: Vaino Kilpi,Timo Malinen. Владелец: Picosun Oy. Дата публикации: 2017-08-29.

Method for forming Ti-containing film by PEALD using TDMAT or TDEAT

Номер патента: US09556516B2. Автор: Noboru Takamure,Tatsuhiro Okabe. Владелец: ASM IP Holding BV. Дата публикации: 2017-01-31.

Apparatus and process for atomic layer deposition

Номер патента: WO2012118955A2. Автор: Joseph Yudovsky. Владелец: Applied Materials, Inc.. Дата публикации: 2012-09-07.

Injector head for atomic layer deposition

Номер патента: US20160122874A1. Автор: Adrianus Johannes Petrus Maria Vermeer,Ronald Henrica Maria Van Dijk. Владелец: Solaytec BV. Дата публикации: 2016-05-05.

Injector head for atomic layer deposition

Номер патента: EP3004417A1. Автор: Adrianus Johannes Petrus Maria Vermeer,Ronald Henrica Maria Van Dijk. Владелец: Solaytec BV. Дата публикации: 2016-04-13.

Injector head for atomic layer deposition

Номер патента: WO2014193234A1. Автор: Adrianus Johannes Petrus Maria Vermeer,Ronald Henrica Maria Van Dijk. Владелец: SoLayTec B.V.. Дата публикации: 2014-12-04.

Method for forming ruthenium thin film

Номер патента: US12091748B2. Автор: Soo-Hyun Kim,Yohei KOTSUGI. Владелец: Tanaka Kikinzoku Kogyo KK. Дата публикации: 2024-09-17.

Method for tuning a deposition rate during an atomic layer deposition process

Номер патента: WO2010132172A2. Автор: Jiang Lu,Mei Chang,Joseph F. Aubuchon,Paul F. Ma. Владелец: Applied Materials, Inc.. Дата публикации: 2010-11-18.

Atomic layer deposition method for metal thin films

Номер патента: US11807939B2. Автор: Shintaro Higashi,Naoyuki Takezawa,Fumikazu Mizutani. Владелец: Kojundo Kagaku Kenkyusho KK. Дата публикации: 2023-11-07.

Atomic layer deposition method for metal thin films

Номер патента: US20240060180A1. Автор: Shintaro Higashi,Naoyuki Takezawa,Fumikazu Mizutani. Владелец: Kojundo Kagaku Kenkyusho KK. Дата публикации: 2024-02-22.

Plasma atomic layer deposition

Номер патента: US12077864B2. Автор: Harm C. M. Knoops,Koen de Peuter,Wilhelmus M. M. Kessels. Владелец: ASM IP Holding BV. Дата публикации: 2024-09-03.

Plasma atomic layer deposition

Номер патента: US09637823B2. Автор: Harm C. M. Knoops,Koen de Peuter,Wilhelmus M. M. Kessels. Владелец: ASM IP Holding BV. Дата публикации: 2017-05-02.

Advanced precursors for selective atomic layer deposition using self-assembled monolayers

Номер патента: US20220136106A1. Автор: Stacey F. Bent,Il-Kwon Oh. Владелец: Leland Stanford Junior University. Дата публикации: 2022-05-05.

Atomic layer deposition apparatus and process

Номер патента: US09695510B2. Автор: Gilbert Bruce Rayner, JR.. Владелец: Kurt J Lesker Co. Дата публикации: 2017-07-04.

Oxygen radical enhanced atomic-layer deposition using ozone plasma

Номер патента: US09583337B2. Автор: Arthur W. Zafiropoulo. Владелец: Ultratech Inc. Дата публикации: 2017-02-28.

Copper(I) complexes and processes for deposition of copper films by atomic layer deposition

Номер патента: US20080075958A1. Автор: Kyung-ho Park. Владелец: Individual. Дата публикации: 2008-03-27.

Atomic layer deposition method of forming an oxide comprising layer on a substrate

Номер патента: US20060003102A1. Автор: Demetrius Sarigiannis,Shuang Meng,Garo Derderian. Владелец: Individual. Дата публикации: 2006-01-05.

Method of Fabricating a Uniformly Aligned Planar Array of Nanowires Using Atomic Layer Deposition

Номер патента: US20150132489A1. Автор: Steven Howard Snyder. Владелец: Individual. Дата публикации: 2015-05-14.

Low Temperature Molecular Layer Deposition Of SiCON

Номер патента: US20160024647A1. Автор: David Thompson,Mark Saly,Lakmal Kalutarage. Владелец: Applied Materials Inc. Дата публикации: 2016-01-28.

Low temperature molecular layer deposition of SiCON

Номер патента: US09812318B2. Автор: David Thompson,Mark Saly,Lakmal Kalutarage. Владелец: Applied Materials Inc. Дата публикации: 2017-11-07.

Methods of area-selective atomic layer deposition

Номер патента: US20200354834A1. Автор: Rudy J. Wojtecki,Gregory M. Wallraff,Ekmini A. De Silva,Noel Arellano. Владелец: International Business Machines Corp. Дата публикации: 2020-11-12.

Roll to roll atomic layer deposition apparatus

Номер патента: US20230304153A1. Автор: Jihyun Seo. Владелец: Beilab Corp. Дата публикации: 2023-09-28.

Atomic layer deposition apparatus

Номер патента: US09809880B2. Автор: In Kyo KIM,Suk Won Jung,Myung Soo Huh,Choel Min JANG. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-11-07.

Molybdenum (IV) amide precursors and use thereof in atomic layer deposition

Номер патента: US09802220B2. Автор: Peter Nicholas Heys,Rajesh Odedra,Sarah Louise Hindley. Владелец: Merck Patent GmBH. Дата публикации: 2017-10-31.

Plasma atomic layer deposition

Номер патента: US10480078B2. Автор: Harm C. M. Knoops,Koen de Peuter,Wilhelmus M. M. Kessels. Владелец: ASM IP Holding BV. Дата публикации: 2019-11-19.

Plasma atomic layer deposition

Номер патента: US10072337B2. Автор: Harm C. M. Knoops,Koen de Peuter,Wilhelmus M. M. Kessels. Владелец: ASM IP Holding BV. Дата публикации: 2018-09-11.

Plasma atomic layer deposition

Номер патента: US20170356087A1. Автор: Koen de Peuter,Harm C.M. Knoops,Wilhelmus M.M. Kessels. Владелец: ASM IP Holding BV. Дата публикации: 2017-12-14.

Plasma atomic layer deposition

Номер патента: US20200208268A1. Автор: Koen de Peuter,Harm C.M. Knoops,Wilhelmus M.M. Kessels. Владелец: ASM IP Holding BV. Дата публикации: 2020-07-02.

Plasma atomic layer deposition

Номер патента: US20180363143A1. Автор: Koen de Peuter,Harm C.M. Knoops,Wilhelmus M.M. Kessels. Владелец: ASM IP Holding BV. Дата публикации: 2018-12-20.

Method for optimizing atomic layer deposition

Номер патента: CN113005424B. Автор: 邓仕杰,黄如慧. Владелец: BenQ Materials Corp. Дата публикации: 2022-05-06.

Scanning mirror and manufacturing method for scanning mirror

Номер патента: US20220066198A1. Автор: Takashi Sasaki,Kazuhiro Hane,Yuki Fujita. Владелец: Tohoku University NUC. Дата публикации: 2022-03-03.

Atomic layer deposition of metal-containing films using surface-activating agents

Номер патента: EP1920081A2. Автор: Jeffery Scott Thompson. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2008-05-14.

Atomic layer process printer

Номер патента: US12049700B2. Автор: Boisen Anja,Ole Hansen,Tomas RINDZEVICIUS,Maksym PLAKHOTNYUK,Ivan KUNDRATA,Karol FRÖHLICH,Julien Bachmann. Владелец: Atlant 3d Nanosystems Aps. Дата публикации: 2024-07-30.

Atomic layer deposition methods

Номер патента: US8163648B2. Автор: Guy T. Blalock. Владелец: Micron Technology Inc. Дата публикации: 2012-04-24.

Method for growth of atomic layer ribbons and nanoribbons of transition metal dichalcogenides

Номер патента: US12060642B2. Автор: Xufan Li,Avetik R. Harutyunyan. Владелец: Honda Motor Co Ltd. Дата публикации: 2024-08-13.

An atomic layer deposition apparatus and method

Номер патента: US20240352582A1. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2024-10-24.

An atomic layer deposition apparatus and method

Номер патента: FI130543B. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2023-11-08.

An atomic layer deposition apparatus and method

Номер патента: EP4384650A1. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2024-06-19.

Apparatus and method for atomic layer deposition (ald)

Номер патента: EP3959355A1. Автор: Pekka Soininen,Matti Malila. Владелец: BENEQ OY. Дата публикации: 2022-03-02.

Apparatus and method for atomic layer deposition (ALD)

Номер патента: US11970773B2. Автор: Pekka Soininen,Matti Malila. Владелец: BENEQ OY. Дата публикации: 2024-04-30.

Apparatus and method for atomic layer deposition (ald)

Номер патента: US20220275512A1. Автор: Pekka Soininen,Matti Malila. Владелец: Hervannan Sauna Oy. Дата публикации: 2022-09-01.

Vacuum chamber and arrangement for atomic layer deposition

Номер патента: US20240026535A1. Автор: Jonas Andersson,Pekka Soininen,Johannes Wesslin. Владелец: BENEQ OY. Дата публикации: 2024-01-25.

Reaction chamber, atomic layer deposition apparatus and method

Номер патента: FI20225272A1. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Olli-Pekka Suhonen. Владелец: BENEQ OY. Дата публикации: 2023-10-01.

Reaction chamber, atomic layer deposition apparatus and a method

Номер патента: WO2023187257A1. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Olli-Pekka Suhonen. Владелец: BENEQ OY. Дата публикации: 2023-10-05.

Atomic layer deposition equipment and process method

Номер патента: US20220178022A1. Автор: Jing-Cheng Lin,Ta-Hao Kuo. Владелец: Sky Tech Inc. Дата публикации: 2022-06-09.

Atomic layer deposition apparatus

Номер патента: US20130178070A1. Автор: Ki-Hyun Kim,Ki-Vin Im,Hoon-Sang Choi,Moon-hyeong Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2013-07-11.

An atomic layer deposition apparatus and an arrangement

Номер патента: US20240337019A1. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Pasi MERILÄINEN,Olli-Pekka Suhonen. Владелец: BENEQ OY. Дата публикации: 2024-10-10.

Atomic layer deposition powder coating

Номер патента: EP2347031A2. Автор: Christophe Detavernier,Davy Deduytsche,Johan Haemers. Владелец: Universiteit Gent. Дата публикации: 2011-07-27.

Cooling device, method for cooling a cooling element and layer deposition apparatus

Номер патента: EP4381115A1. Автор: Maik BURKHARDT,Joergen KOPPOLD,Jens WILLEMS. Владелец: Leybold GmbH. Дата публикации: 2024-06-12.

Cooling device, method for cooling a cooling element and layer deposition apparatus

Номер патента: WO2023012345A1. Автор: Maik BURKHARDT,Joergen KOPPOLD,Jens WILLEMS. Владелец: Leybold GmbH. Дата публикации: 2023-02-09.

An atomic layer deposition apparatus and a method

Номер патента: US20230407474A1. Автор: Pekka Soininen,Mika Jauhiainen. Владелец: BENEQ OY. Дата публикации: 2023-12-21.

An atomic layer deposition apparatus and an arrangement

Номер патента: WO2023017214A1. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Pasi MERILÄINEN,Olli-Pekka Suhonen,Mikko TYNI. Владелец: BENEQ OY. Дата публикации: 2023-02-16.

An atomic layer deposition apparatus and an arrangement

Номер патента: FI130544B. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Pasi MERILÄINEN. Владелец: BENEQ OY. Дата публикации: 2023-11-08.

An atomic layer deposition apparatus and a method

Номер патента: EP4225968A1. Автор: Pekka Soininen,Mika Jauhiainen. Владелец: BENEQ OY. Дата публикации: 2023-08-16.

An atomic layer deposition apparatus and a method

Номер патента: WO2022079351A1. Автор: Pekka Soininen,Mika Jauhiainen. Владелец: BENEQ OY. Дата публикации: 2022-04-21.

An atomic layer deposition apparatus and an arrangement

Номер патента: EP4384651A1. Автор: Pekka Soininen,Matti Malila,Markus Bosund,Pasi MERILÄINEN,Olli-Pekka Suhonen. Владелец: BENEQ OY. Дата публикации: 2024-06-19.

Atomic layer deposition carousel with continuous rotation and methods of use

Номер патента: US09631277B2. Автор: Joseph Yudovsky. Владелец: Applied Materials Inc. Дата публикации: 2017-04-25.

Atomic-layer-deposition equipment and atomiclayer-deposition method by using the same

Номер патента: US11891694B2. Автор: Jing-Cheng Lin. Владелец: Sky Tech Inc. Дата публикации: 2024-02-06.

Atomic-layer-deposition equipment and atomiclayer-deposition method by using the same

Номер патента: US20230203653A1. Автор: Jing-Cheng Lin. Владелец: Sky Tech Inc. Дата публикации: 2023-06-29.

Method for vapour deposition of a film onto a substrate

Номер патента: EP1299572A2. Автор: Margreet Albertine Anne-Marie Van Wijck. Владелец: ASM International NV. Дата публикации: 2003-04-09.

Systems and methods for atomic layer deposition

Номер патента: US11814727B2. Автор: Eric Jen Cheng Liu. Владелец: ASM IP Holding BV. Дата публикации: 2023-11-14.

Atomic layer deposition of protective coatings for semiconductor process chamber components

Номер патента: US12104246B2. Автор: Jennifer Y. Sun,David Fenwick. Владелец: Applied Materials Inc. Дата публикации: 2024-10-01.

Method for manufacturing thin film

Номер патента: EP4249631A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2023-09-27.

Pvd-coated cutting tools and method for making the same

Номер патента: US20160265106A1. Автор: Yixiong Liu,Vineet Kumar,Mark S. Greenfield,Ronald M. Penich,Peter R. Leicht. Владелец: Kennametal Inc. Дата публикации: 2016-09-15.

Powder atomic layer deposition equipment with quick release function

Номер патента: US20230120393A1. Автор: Jing-Cheng Lin,Jung-Hua Chang,Chia-Cheng Ku. Владелец: Sky Tech Inc. Дата публикации: 2023-04-20.

Powder-atomic-layer-deposition device with knocker

Номер патента: US12123092B2. Автор: Jing-Cheng Lin,Chia-Cheng Ku. Владелец: Sky Tech Inc. Дата публикации: 2024-10-22.

Atomic layer deposition of lithium boron comprising nanocomposite solid electrolytes

Номер патента: US11946139B2. Автор: Anil U. Mane,Jeffrey W. Elam,Devika Choudhury. Владелец: UChicago Argonne LLC. Дата публикации: 2024-04-02.

SYSTEMS AND METHODS FOR REMOTE PLASMA ATOMIC LAYER DEPOSITION

Номер патента: US20140272185A1. Автор: Gopinath Sanjay,Na Jeong-Seok. Владелец: . Дата публикации: 2014-09-18.

METHOD FOR GROWTH OF ATOMIC LAYER RIBBONS AND NANORIBBONS OF TRANSITION METAL DICHALCOGENIDES

Номер патента: US20210324522A1. Автор: HARUTYUNYAN Avetik R.,LI Xufan. Владелец: . Дата публикации: 2021-10-21.

Method for enabling optimized material deposition

Номер патента: EP3696294A1. Автор: Neal Magdefrau,Paul Sheedy. Владелец: United Technologies Corp. Дата публикации: 2020-08-19.

Nitrogen-containing ligands and their use in atomic layer deposition methods

Номер патента: US09580799B2. Автор: David Thompson,Jeffrey W. Anthis. Владелец: Applied Materials Inc. Дата публикации: 2017-02-28.

Method for Producing a Nanoscale Channel Structure

Номер патента: US20230074834A1. Автор: Christoph Schelling. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-03-09.

Methods for applying decorative metal films on polymeric surfaces

Номер патента: WO2023183902A1. Автор: Marshall E. LEININGER,Joshua B. Soper. Владелец: Helios Coatings, Inc.. Дата публикации: 2023-09-28.

Methods for applying decorative metal films on polymeric surfaces

Номер патента: US20230304139A1. Автор: Marshall E. LEININGER,Joshua B. Soper. Владелец: Vergason Technology. Дата публикации: 2023-09-28.

Deposition tool and method for depositing metal oxide films on organic materials

Номер патента: WO2020005487A1. Автор: Akhil Singhal,Patrick Van Cleemput. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2020-01-02.

Method of forming a layer of material using an atomic layer deposition process

Номер патента: WO2007146537B1. Автор: Neal Rueger,John Smythe. Владелец: John Smythe. Дата публикации: 2008-12-18.

Method of forming a layer of material using an atomic layer deposition process

Номер патента: EP2027304A2. Автор: Neal Rueger,John Smythe. Владелец: Micron Technology Inc. Дата публикации: 2009-02-25.

Deposition tool and method for depositing metal oxide films on organic materials

Номер патента: US11887846B2. Автор: Akhil Singhal,Patrick Van Cleemput. Владелец: Lam Research Corp. Дата публикации: 2024-01-30.

Pvd-coated cutting tools and method for making the same

Номер патента: US20170218511A1. Автор: Yixiong Liu,Vineet Kumar,Mark S. Greenfield,Ronald M. Penich,Peter R. Leicht. Владелец: Kennametal Inc. Дата публикации: 2017-08-03.

PVD-coated cutting tools and method for making the same

Номер патента: US09650713B2. Автор: Yixiong Liu,Vineet Kumar,Mark S. Greenfield,Ronald M. Penich,Peter R. Leicht. Владелец: Kennamtetal Inc. Дата публикации: 2017-05-16.

Layer deposition method and layer deposition apparatus

Номер патента: US20240030024A1. Автор: HyungSuk Jung,Hanjin Lim,Intak Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-01-25.

Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)

Номер патента: US09972501B1. Автор: Birol Kuyel. Владелец: Nano Master Inc. Дата публикации: 2018-05-15.

Multi-layer plasma resistant coating by atomic layer deposition

Номер патента: US12002657B2. Автор: Xiaowei Wu,Guodong Zhan,Jennifer Y. Sun,David Fenwick. Владелец: Applied Materials Inc. Дата публикации: 2024-06-04.

Nitrogen-Containing Ligands And Their Use In Atomic Layer Deposition Methods

Номер патента: US20140102365A1. Автор: David Thompson,Jeffrey W. Anthis. Владелец: Individual. Дата публикации: 2014-04-17.

Nitrogen-Containing Ligands And Their Use In Atomic Layer Deposition Methods

Номер патента: US20170121287A1. Автор: David Thompson,Jeffrey W. Anthis. Владелец: Applied Materials Inc. Дата публикации: 2017-05-04.

Methods For Spatial Metal Atomic Layer Deposition

Номер патента: US20180312966A1. Автор: Yihong Chen,Kelvin Chan. Владелец: Applied Materials Inc. Дата публикации: 2018-11-01.

Methods for spatial metal atomic layer deposition

Номер патента: WO2017070634A1. Автор: Yihong Chen,Kelvin Chan. Владелец: Applied Materials, Inc.. Дата публикации: 2017-04-27.

Apparatus and method for high-throughput atomic layer deposition

Номер патента: EP3061846A2. Автор: Ernst H.A. Granneman,Sebastiaan E. Van Nooten. Владелец: ASM International NV. Дата публикации: 2016-08-31.

System and method for plasma accelerated atomic layer deposition

Номер патента: JP5253149B2. Автор: 司 松田. Владелец: Tokyo Electron Ltd. Дата публикации: 2013-07-31.

An atomic layer process chamber for 3d conformal processing

Номер патента: WO2016153716A1. Автор: WEI Liu,Abhilash J. Mayur,Phillip Stout. Владелец: Applied Materials, Inc.. Дата публикации: 2016-09-29.

Atomic layer deposition apparatus and method for manufacturing semiconductor device using the same

Номер патента: US20080057738A1. Автор: June Woo Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-03-06.

Method for forming cobalt barrier layer and metal interconnection process

Номер патента: US09449872B1. Автор: TONG Lei,Jingxun FANG. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2016-09-20.

Self-limiting chemical vapor deposition and atomic layer deposition methods

Номер патента: US09607920B2. Автор: Mary EDMONDS,Andrew C. Kummel,Atif M. NOORI. Владелец: Applied Materials Inc. Дата публикации: 2017-03-28.

Structures and methods for use in photolithography

Номер патента: US12055863B2. Автор: David Kurt De Roest,Daniele Piumi. Владелец: ASM IP Holding BV. Дата публикации: 2024-08-06.

Methods for depositing high-k dielectrics

Номер патента: US20100330772A1. Автор: Imran Hashim,Sandra Malhotra,Sunil Shanker,Edward Haywood,Xiangxin Rui. Владелец: Intermolecular Inc. Дата публикации: 2010-12-30.

Methods For Depositing High-K Dielectrics

Номер патента: US20130056852A1. Автор: Sandra G. Malhotra,Imran Hashim,Sunil Shanker,Xiangxin Rui,Edward L. Haywood. Владелец: Intermolecular Inc. Дата публикации: 2013-03-07.

Methods for depositing high-K dielectrics

Номер патента: US8574985B2. Автор: Imran Hashim,Sandra Malhotra,Sunil Shanker,Edward Haywood,Xiangxin Rui. Владелец: Elpida Memory Inc. Дата публикации: 2013-11-05.

Ultrathin atomic layer deposition film accuracy thickness control

Номер патента: US20230298884A1. Автор: Hu Kang,Adrien Lavoie,Jun Qian,Purushottam Kumar,Seiji Matsuyama. Владелец: Lam Research Corp. Дата публикации: 2023-09-21.

Method for hafnium nitride deposition

Номер патента: EP1613790A1. Автор: Shreyas Kher,Craig Metzner,Steven M. George,M. Noel Rocklein,Yeong Kwan Kim. Владелец: Applied Materials Inc. Дата публикации: 2006-01-11.

Semiconductor device and method for forming the same

Номер патента: US20240194769A1. Автор: Yu-Cheng Tung,Chia-Wei Wu. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-06-13.

Method for preparing antibacterial and dust-removal membrane

Номер патента: US09815029B2. Автор: Zhaoxiang Zhong,Xibo Wu,Zhong YAO. Владелец: NANJING TECH UNIVERSITY. Дата публикации: 2017-11-14.

METHOD OF PLASMA ENHANCED ATOMIC LAYER DEPOSITION OF TaC AND TaCN FILMS HAVING GOOD ADHESION TO COPPER

Номер патента: WO2007111780A3. Автор: Tadahiro Ishizaka. Владелец: Tadahiro Ishizaka. Дата публикации: 2008-01-17.

Method for forming metal line in a semiconductor device

Номер патента: US20070166987A1. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for forming metal line in a semiconductor device

Номер патента: US7632754B2. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-12-15.

Scandium precursor for sc2o3 or sc2s3 atomic layer deposition

Номер патента: US20230058025A1. Автор: Patricio E. Romero. Владелец: Intel Corp. Дата публикации: 2023-02-23.

Scandium precursor for sc2o3 or sc2s3 atomic layer deposition

Номер патента: US20190202842A1. Автор: Patricio E. Romero. Владелец: Intel Corp. Дата публикации: 2019-07-04.

Scandium precursor for sc2o3 or sc2s3 atomic layer deposition

Номер патента: US20240092804A1. Автор: Patricio E. Romero. Владелец: Intel Corp. Дата публикации: 2024-03-21.

Scandium precursor for SC2O3 or SC2S3 atomic layer deposition

Номер патента: US11866453B2. Автор: Patricio E. Romero. Владелец: Intel Corp. Дата публикации: 2024-01-09.

Method for etching an etch layer

Номер патента: US20240297050A1. Автор: Takumi Yanagawa,Nikhil Dole. Владелец: Lam Research Corp. Дата публикации: 2024-09-05.

Methods for forming conductive titanium oxide thin films

Номер патента: US09646820B2. Автор: Mikko Ritala,Markku Leskelä,Viljami Pore. Владелец: ASM International NV. Дата публикации: 2017-05-09.

Methods for forming conductive titanium oxide thin films

Номер патента: US20150162183A1. Автор: Mikko Ritala,Markku Leskelä,Viljami Pore. Владелец: ASM International NV. Дата публикации: 2015-06-11.

Passivation of silicon dioxide defects for atomic layer deposition

Номер патента: US11993844B2. Автор: Steven Wolf,Andrew Kummel,Michael Breeden,Ashay Anurag. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-05-28.

Method for manufacturing and reoxidizing a tin/ta2o5/tin capacitor

Номер патента: US20120199947A1. Автор: Mickael Gros-Jean. Владелец: STMicroelectronics Crolles 2 SAS. Дата публикации: 2012-08-09.

Method for manufacturing and reoxidizing a TiN/Ta2O5/TiN capacitor

Номер патента: US8709907B2. Автор: Mickael Gros-Jean. Владелец: STMicroelectronics Crolles 2 SAS. Дата публикации: 2014-04-29.

Methods for manufacturing nano-gap and angstrom-gap articles

Номер патента: US09777372B2. Автор: Sang-Hyun Oh,Xiaoshu Chen. Владелец: University of Minnesota. Дата публикации: 2017-10-03.

Substrate web coating by atomic layers deposition

Номер патента: RU2605408C2. Автор: Свен ЛИНДФОРС. Владелец: Пикосан Ой. Дата публикации: 2016-12-20.

Method for liquid precursor atomization

Номер патента: US20130064976A1. Автор: Benjamin Y.H. Liu. Владелец: MSP Corp. Дата публикации: 2013-03-14.

Direct liquid injection system and method for forming multi-component dielectric films

Номер патента: WO2006023501A3. Автор: Yoshihide Senzaki. Владелец: Aviza Tech Inc. Дата публикации: 2006-11-30.

Tungsten metal/tungsten nitride enhanced platinum-based ORR catalyst and method for making the same

Номер патента: US12080894B2. Автор: Alan W. Weimer,William MCNEARY. Владелец: University of Colorado. Дата публикации: 2024-09-03.

Plasma assisted atomic layer deposition titanium oxide for patterning applications

Номер патента: US09673041B2. Автор: Shankar Swaminathan,Frank L. Pasquale,Adrien Lavoie. Владелец: Lam Research Corp. Дата публикации: 2017-06-06.

Method for determining the relevant ion and particle flows in I-PVD processes

Номер патента: US20030029727A1. Автор: Alexander Ruf,Alfred Kersch. Владелец: Individual. Дата публикации: 2003-02-13.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: US09466574B2. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM America Inc. Дата публикации: 2016-10-11.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: WO2010088015A2. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM AMERICA, INC.. Дата публикации: 2010-08-05.

Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Номер патента: US20140008803A1. Автор: Dong Li,Steven Marcus,Robert B. Milligan. Владелец: ASM America Inc. Дата публикации: 2014-01-09.

Method for producing a graphene film

Номер патента: US12037248B2. Автор: Xinyuan Liu,Zhen Song,Fuqiang Huang,Tongping XIU,Hui Bi,Yufeng Tang. Владелец: Shanghai Institute of Ceramics of CAS. Дата публикации: 2024-07-16.

Method for producing a graphene film

Номер патента: US11708271B2. Автор: Xinyuan Liu,Zhen Song,Fuqiang Huang,Tongping XIU,Hui Bi,Yufeng Tang. Владелец: Shanghai Institute of Ceramics of CAS. Дата публикации: 2023-07-25.

Method for forming dielectric film and method for fabricating semiconductor device

Номер патента: US09991112B2. Автор: Youn Joung CHO,Won Woong CHUNG,Sun Hye Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-06-05.

Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method

Номер патента: US6143659A. Автор: Hyeun-seog Leem. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-11-07.

Atomic layer deposition equipment and process method

Номер патента: US20220119946A1. Автор: Jing-Cheng Lin,Ching-Liang Yi,Yun-Chi Hsu,Hsin-Yu Yao. Владелец: Sky Tech Inc. Дата публикации: 2022-04-21.

Composition for atomic layer deposition of high quality silicon oxide thin films

Номер патента: EP4288579A1. Автор: XINJIAN LEI,Haripin Chandra,Steven G. Mayorga. Владелец: Versum Materials US LLC. Дата публикации: 2023-12-13.

Composition for atomic layer deposition of high quality silicon oxide thin films

Номер патента: US20240158915A1. Автор: XINJIAN LEI,Haripin Chandra,Steven G. Mayorga. Владелец: Versum Materials US LLC. Дата публикации: 2024-05-16.

Method for coating pharmaceutical substrates

Номер патента: US20240226018A1. Автор: Aimo Turunen,Pekka Hoppu,Tommi Kaariainen,Marja-Leena KAARIAINEN. Владелец: Applied Materials Inc. Дата публикации: 2024-07-11.

Method for coating pharmaceutical substrates

Номер патента: US20150250731A1. Автор: Aimo Turunen,Pekka Hoppu,Tommi Kaariainen,Marja-Leena Kaarianen. Владелец: NovaldMedical Ltd Oy. Дата публикации: 2015-09-10.

Method for providing a thermal absorber

Номер патента: US09890972B2. Автор: Kaj A. Pischow,Martin Andritschky,Luis Manuel Fernandes Rebouta. Владелец: SAVO-SOLAR OY. Дата публикации: 2018-02-13.

Tungsten nitride atomic layer deposition processes

Номер патента: US7745329B2. Автор: Ming Li,Lee Luo,Shulin Wang,Aihua Chen,Ulrich Kroemer. Владелец: Applied Materials Inc. Дата публикации: 2010-06-29.

Atomic layer deposition passivation for via

Номер патента: US20170368823A1. Автор: Zhizhang Chen,Mohammed Saad Shaarawi,Roberto A Pugliese, Jr.. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2017-12-28.

Atomic layer deposition passivation for via

Номер патента: EP3231007A1. Автор: Zhizhang Chen,Mohammed Saad Shaarawi,Jr. Roberto A. Pugliese. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2017-10-18.

Methods for forming a lanthanum-metal oxide dielectric layer

Номер патента: US7494939B2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2009-02-24.

Textile including fibers deposited with material using atomic layer deposition for increased rigidity and strength

Номер патента: US20130023172A1. Автор: Sang In LEE. Владелец: Synos Technology Inc. Дата публикации: 2013-01-24.

Method for producing oxynitride film by atomic layer deposition process

Номер патента: US09809490B2. Автор: Satoshi Shibata,Miyuki Nakai. Владелец: Panasonic Intellectual Property Management Co Ltd. Дата публикации: 2017-11-07.

Method for forming aluminum nitride-based film by PEALD

Номер патента: US09711345B2. Автор: Eiichiro Shiba. Владелец: ASM IP Holding BV. Дата публикации: 2017-07-18.

Method for line density multiplication using block copolymers and sequential infiltration synthesis

Номер патента: US09416447B2. Автор: Ricardo Ruiz,Hitesh Arora. Владелец: HGST NETHERLANDS BV. Дата публикации: 2016-08-16.

Gas delivery apparatus and method for atomic layer deposition

Номер патента: US8668776B2. Автор: Ling Chen,Vincent Ku,Hua Chung,Norman Nakashima,Dien-Yeh Wu,Alan Ouye. Владелец: Applied Materials Inc. Дата публикации: 2014-03-11.

Atomic layer deposition apparatus

Номер патента: US20190019657A1. Автор: Keisuke Washio,Masao Nakata. Владелец: Japan Steel Works Ltd. Дата публикации: 2019-01-17.

Method for manufacturing thin film

Номер патента: EP4249629A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2023-09-27.

Gas delivery apparatus for atomic layer deposition

Номер патента: US7780788B2. Автор: Ling Chen,Vincent Ku,Hua Chung,Norman Nakashima,Dien-Yeh Wu,Alan Ouye. Владелец: Applied Materials Inc. Дата публикации: 2010-08-24.

Structures and methods for use in photolithography

Номер патента: US20220019149A1. Автор: David Kurt De Roest,Daniele Piumi. Владелец: ASM IP Holding BV. Дата публикации: 2022-01-20.

Structures and methods for use in photolithography

Номер патента: US20230259043A1. Автор: David Kurt De Roest,Daniele Piumi. Владелец: ASM IP Holding BV. Дата публикации: 2023-08-17.

Method for preparing copper calcium titanate thin film, and copper calcium titanate thin film

Номер патента: EP3656889A1. Автор: Bin Lu,Jian Shen. Владелец: Shenzhen Goodix Technology Co Ltd. Дата публикации: 2020-05-27.

Atomic layer deposition of silicon carbon nitride based materials

Номер патента: US09837263B2. Автор: Viljami Pore. Владелец: ASM IP Holding BV. Дата публикации: 2017-12-05.

Method for depositing a nanolaminate film by atomic layer deposition

Номер патента: US6930059B2. Автор: Yoshi Ono,Rajendra Solanki,John F. Conley, Jr.. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2005-08-16.

Method for fabricating oxides/semiconductor interfaces

Номер патента: US10615028B2. Автор: Georgios Vellianitis. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-07.

Method for fabricating oxides/semiconductor interfaces

Номер патента: US20150255268A1. Автор: Georgios Vellianitis. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-09-10.

Multi-chamber apparatus and method for ald

Номер патента: EP4244403A1. Автор: Vladimir Kuznetsov,Jacobus Hubertus Maria BEIJERSBERGEN,Simon Cornelis VAN DER LINDE. Владелец: LEVITECH BV. Дата публикации: 2023-09-20.

Method for producing GaN-based crystal and semiconductor device

Номер патента: US09595632B2. Автор: Kazutaka Terashima,Suzuka Nishimura,Muneyuki HIRAI. Владелец: SOLARTES LAB Ltd. Дата публикации: 2017-03-14.

Two-step atomic layer deposition of copper layers

Номер патента: EP1558783A2. Автор: Yoshihide Senzaki. Владелец: Aviza Technology Inc. Дата публикации: 2005-08-03.

Method for forming a dielectric stack

Номер патента: EP1570525A1. Автор: TSAI Wilman,Matty Caymax,Jerry Chen,Jan-Willem Maes. Владелец: ASM America Inc. Дата публикации: 2005-09-07.

Method for forming a dielectric stack

Номер патента: US20090079016A1. Автор: TSAI Wilman,Jan Willem Maes,Mathieu Caymax,Peijun Jerry Chen. Владелец: ASM America Inc. Дата публикации: 2009-03-26.

Apparatus and method for manufacturing metal gate structures

Номер патента: US20240337012A1. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Apparatus and method for manufacturing metal gate structures

Номер патента: US12054823B2. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Method for fabricating oxides/semiconductor interfaces

Номер патента: US20130109199A1. Автор: Georgios Vellianitis. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-05-02.

Method for coating a workpiece

Номер патента: US20150340224A1. Автор: Markus Kahn,Helmut Schoenherr,Juergen Steinbrenner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2015-11-26.

Atomic layer deposition of copper using surface-activating agents

Номер патента: WO2006033731A2. Автор: Jeffrey Scott Thompson. Владелец: E.I. DUPONT DE NEMOURS AND COMPANY. Дата публикации: 2006-03-30.

Method for coating a workpiece

Номер патента: US09478409B2. Автор: Markus Kahn,Helmut Schoenherr,Juergen Steinbrenner. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-10-25.

Mask and Reticle Protection with Atomic Layer Deposition (ALD)

Номер патента: US20220197131A1. Автор: Birol Kuyel. Владелец: Nano Master Inc. Дата публикации: 2022-06-23.

Atomic layer deposition with plasma source

Номер патента: US09868131B2. Автор: Sven Lindfors,Vaino Kilpi,Juhana Kostamo,Wei-Min Li,Timo Malinen. Владелец: Picosun Oy. Дата публикации: 2018-01-16.

Method for Preparing Antibacterial and Dust-removal Membrane

Номер патента: US20170259213A1. Автор: Zhaoxiang Zhong,Xibo Wu,Zhong YAO. Владелец: NANJING TECH UNIVERSITY. Дата публикации: 2017-09-14.

Method for etching an etch layer

Номер патента: US12020944B2. Автор: Takumi Yanagawa,Nikhil Dole. Владелец: Lam Research Corp. Дата публикации: 2024-06-25.

High selectivity atomic layer deposition process

Номер патента: US11993845B2. Автор: Srinivas D. Nemani,Jong Choi,Keith Tatseun WONG,Andrew C. Kummel,Christopher AHLES. Владелец: Applied Materials Inc. Дата публикации: 2024-05-28.

Atomic layer deposition of P-type oxide semiconductor thin films

Номер патента: US09685542B2. Автор: Kenji Nomura,John Hyunchul Hong. Владелец: Qualcomm Inc. Дата публикации: 2017-06-20.

Atomic layer deposition and etching of transition metal dichalcogenide thin films

Номер патента: US20230250534A1. Автор: Mikko Ritala,Markku Leskelä,Jani Hämäläinen. Владелец: ASM IP Holding BV. Дата публикации: 2023-08-10.

Atomic layer deposition of rhenium containing thin films

Номер патента: US11821084B2. Автор: Mikko Ritala,Markku Leskelä,Jani Hämäläinen. Владелец: ASM IP Holding BV. Дата публикации: 2023-11-21.

Atomic layer deposition of p-type oxide semiconductor thin films

Номер патента: EP3241234A1. Автор: Kenji Nomura,John Hyunchul Hong. Владелец: SnapTrack Inc. Дата публикации: 2017-11-08.

Atomic layer deposition of p-type oxide semiconductor thin films

Номер патента: US20160190290A1. Автор: Kenji Nomura,John Hyunchul Hong. Владелец: Qualcomm Mems Technologies Inc. Дата публикации: 2016-06-30.

Atomic layer deposition of high k metal oxides

Номер патента: EP1535319A4. Автор: Sang-In Lee,Yoshihide Senzaki,Sang-Kyoo Lee. Владелец: Integrated Process Systems Ltd. Дата публикации: 2008-05-28.

Manufacturing method for semiconductor silicon wafer

Номер патента: US12046469B2. Автор: Takeshi Senda,Shingo Narimatsu. Владелец: GlobalWafers Japan Co Ltd. Дата публикации: 2024-07-23.

Method for forming barrier layer and method for manufacturing semiconductor device

Номер патента: US11830738B2. Автор: Ryu Nakano. Владелец: ASM IP Holding BV. Дата публикации: 2023-11-28.

Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition

Номер патента: EP1238421A4. Автор: Ofer Sneh,Carl Galewski. Владелец: Genus Inc. Дата публикации: 2006-06-21.

Methods for thin film deposition

Номер патента: US20170032956A1. Автор: Antti Niskanen,Yukihiro Mori,Suvi Haukka,Eva Tois,Hidemi Suemori,Jun Kawahara,Raija Matero,Jaako Anttila. Владелец: ASM IP Holding BV. Дата публикации: 2017-02-02.

Atomic layer deposition of high k metal oxides

Номер патента: EP1535319A2. Автор: Sang-In Lee,Yoshihide Senzaki,Sang-Kyoo Lee. Владелец: Integrated Process Systems Ltd. Дата публикации: 2005-06-01.

Method for forming copper metal line and semiconductor device including the same

Номер патента: KR100657165B1. Автор: 백인철,이한춘. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-12-13.

Methods of atomic-layer deposition of hafnium oxide/erbium oxide bi-layer as advanced gate dielectrics

Номер патента: US20140291776A1. Автор: Jinhong Tong. Владелец: Intermolecular Inc. Дата публикации: 2014-10-02.

An atomic layer deposition apparatus

Номер патента: EP4314380A1. Автор: Jonas Andersson,Pekka Soininen,Johannes Wesslin. Владелец: BENEQ OY. Дата публикации: 2024-02-07.

An atomic layer deposition apparatus

Номер патента: WO2022207978A1. Автор: Jonas Andersson,Pekka Soininen,Johannes Wesslin. Владелец: BENEQ OY. Дата публикации: 2022-10-06.

Method for producing lithium phosphorus oxynitride layer

Номер патента: US9920426B2. Автор: Hideyuki Koga,Taishi Shiotsuki,Maarit Karppinen,Yohei Shindo,Mikko Nisula. Владелец: Toyota Motor Corp. Дата публикации: 2018-03-20.

Atomic layer deposition apparatus

Номер патента: US20240018652A1. Автор: Jonas Andersson,Pekka Soininen,Johannes Wesslin. Владелец: BENEQ OY. Дата публикации: 2024-01-18.

Atomic layer deposition apparatus

Номер патента: US11926896B2. Автор: Jonas Andersson,Pekka Soininen,Johannes Wesslin. Владелец: BENEQ OY. Дата публикации: 2024-03-12.

Method for producing lithium phosphorus oxynitride layer

Номер патента: US20170067161A1. Автор: Hideyuki Koga,Taishi Shiotsuki,Maarit Karppinen,Yohei Shindo,Mikko Nisula. Владелец: Toyota Motor Corp. Дата публикации: 2017-03-09.

Atomic layer deposition for manufacturing whetlerite carbons

Номер патента: US20230364579A1. Автор: Christopher Vizcaino,Richard Mackay,James A. Hern. Владелец: Molecular Products Inc. Дата публикации: 2023-11-16.

Atomic layer deposition for manufacturing whetlerite carbons

Номер патента: WO2024072491A9. Автор: Christopher Vizcaino,Richard Mackay,James HERN. Владелец: Molecular Products Inc.. Дата публикации: 2024-04-25.

Atomic layer deposition for manufacturing whetlerite carbons

Номер патента: WO2024072491A3. Автор: Christopher Vizcaino,Richard Mackay,James HERN. Владелец: Molecular Products Inc.. Дата публикации: 2024-07-18.

Atomic layer deposition for manufacturing whetlerite carbons

Номер патента: WO2024072491A2. Автор: Christopher Vizcaino,Richard Mackay,James HERN. Владелец: Molecular Products Inc.. Дата публикации: 2024-04-04.

Atomic layer deposition apparatus and method

Номер патента: US09512519B2. Автор: Ming-Te Chen,Hsing-Jui Lee,Chia-Yi Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-06.

Atomic layer deposition of silicon carbon nitride based materials

Номер патента: US20180082838A1. Автор: Viljami Pore. Владелец: ASM IP Holding BV. Дата публикации: 2018-03-22.

Atomic layer deposition of silicon carbon nitride based materials

Номер патента: US20160307751A1. Автор: Viljami Pore. Владелец: ASM IP Holding BV. Дата публикации: 2016-10-20.

Methods for non-isothermal wet atomic layer etching

Номер патента: WO2023239495A1. Автор: Paul Abel. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2023-12-14.

Atomic layer deposition apparatus and method for processing substrates using an apparatus

Номер патента: EP3215652A2. Автор: Ernst Hendrik August Granneman,Leilei HU. Владелец: ASM International NV. Дата публикации: 2017-09-13.

Atomic layer deposition apparatus and method for processing substrates using an apparatus

Номер патента: US20170167020A1. Автор: Ernst Hendrik August Granneman,Leilei HU. Владелец: LEVITECH BV. Дата публикации: 2017-06-15.

Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method

Номер патента: US20020007790A1. Автор: Young-hoon Park. Владелец: Individual. Дата публикации: 2002-01-24.

Copper (II) Complexes for Deposition of Copper Films by Atomic Layer Deposition

Номер патента: US20080044687A1. Автор: Alexander Zak Bradley,Jeffery Scott Thompson,Kyung-ho Park. Владелец: Individual. Дата публикации: 2008-02-21.

Bisamineazaallylic Ligands And Their Use In Atomic Layer Deposition Methods

Номер патента: US20130267709A1. Автор: David Thompson,Jeffrey W. Anthis. Владелец: Individual. Дата публикации: 2013-10-10.

Bisamineazaallylic Ligands And Their Use In Atomic Layer Deposition Methods

Номер патента: US20120107502A1. Автор: David Thompson,Jeffrey W. Anthis. Владелец: Applied Materials Inc. Дата публикации: 2012-05-03.

Laser-Assisted Atomic Layer Deposition of 2D Metal Chalcogenide Films

Номер патента: US20180216232A1. Автор: Ganesh Sundaram. Владелец: Ultratech Inc. Дата публикации: 2018-08-02.

Tungsten metal/tungsten nitride enhanced platinum-based orr catalyst and method for making the same

Номер патента: EP3870541A1. Автор: Alan W. Weimer,William MCNEARY. Владелец: University of Colorado. Дата публикации: 2021-09-01.

Method for depositing dielectric film in trenches by PEALD

Номер патента: US09909214B2. Автор: Hidemi Suemori. Владелец: ASM IP Holding BV. Дата публикации: 2018-03-06.

Methods and apparatuses for atomic layer cleaning of contacts and vias

Номер патента: US09362163B2. Автор: Michal Danek,Juwen Gao,Aaron Fellis,Chiukin Steven Lai,Francisco Juarez. Владелец: Lam Research Corp. Дата публикации: 2016-06-07.

Ternary tungsten boride nitride films and methods for forming same

Номер патента: US09969622B2. Автор: Wei Lei,Juwen Gao. Владелец: Lam Research Corp. Дата публикации: 2018-05-15.

Method for residue-free anisotropic etching of aluminum and its alloys

Номер патента: WO2000029640A9. Автор: Jeffrey Stokes,Timothy R Webb,Savitha Nanjangud,Marlene Li. Владелец: Applied Materials Inc. Дата публикации: 2000-11-09.

Atomic layer deposition with nitridation and oxidation

Номер патента: US20070059945A1. Автор: Nima Mohklesi. Владелец: SanDisk Corp. Дата публикации: 2007-03-15.

Methods for depositing anti-coking protective coatings on aerospace components

Номер патента: US11794382B2. Автор: David A. BRITZ. Владелец: Applied Materials Inc. Дата публикации: 2023-10-24.

Method for fabricating articles by sequential layer deposition

Номер патента: US4323756A. Автор: Bernard H. Kear,Clyde O. Brown,Edward M. Breinan. Владелец: United Technologies Corp. Дата публикации: 1982-04-06.

Methods for coating a substrate with magnesium fluoride via atomic layer deposition

Номер патента: US20240247368A1. Автор: Hoon Kim,Jue Wang,Ming-Huang Huang. Владелец: Corning Inc. Дата публикации: 2024-07-25.

Method for atomic layer etching

Номер патента: US09881807B2. Автор: Alok Ranjan,Mingmei Wang,Sonam SHERPA. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-01-30.

Components with an atomic layer deposition coating and methods of producing the same

Номер патента: EP3245315A1. Автор: Kevin Killeen,Elizabeth Carr. Владелец: AGILENT TECHNOLOGIES INC. Дата публикации: 2017-11-22.

Materials and methods for corrosion inhibition of atomically thin materials

Номер патента: US20190367743A1. Автор: Ju Li,Cong SU,Zongyou Yin. Владелец: Massachusetts Institute of Technology. Дата публикации: 2019-12-05.

Method for making nanotube film

Номер патента: US09963347B2. Автор: Yang Wei,Kai-Li Jiang,Shou-Shan Fan,He MA. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2018-05-08.

Method for producing semiconductor thin films on foreign substrates

Номер патента: US20150140795A1. Автор: Jean-Paul Theis. Владелец: Individual. Дата публикации: 2015-05-21.

Method for obtaining a laminated curved glazing

Номер патента: US20230286253A1. Автор: Florian FLAMARY-MESPOULIE. Владелец: Saint Gobain Glass France SAS. Дата публикации: 2023-09-14.

Method for the Fabrication of a Reduced Reflectance Metal Mesh

Номер патента: US20180215660A1. Автор: Zhihong Liu. Владелец: 2m Technology LLC. Дата публикации: 2018-08-02.

Methods for coating a substrate with magnesium fluoride via atomic layer deposition

Номер патента: EP4004254A1. Автор: Hoon Kim,Jue Wang,Ming-Huang Huang. Владелец: Corning Inc. Дата публикации: 2022-06-01.

Methods for coating a substrate with magnesium fluoride via atomic layer deposition

Номер патента: US11952659B2. Автор: Hoon Kim,Jue Wang,Ming-Huang Huang. Владелец: Corning Inc. Дата публикации: 2024-04-09.

Method for preparing a doped polypyrrole

Номер патента: WO2012070927A1. Автор: Ahmad Mohd Rais,Alva Sagir,Abd Rashid Norazah,A Aziz Aiman Sajidah. Владелец: MIMOS BERHAD. Дата публикации: 2012-05-31.

Method for preparng a doped polypyrrole

Номер патента: MY150725A. Автор: Mohd Rais Dr Ahmad,Sagir Dr Alva,Norazah Abd Rashid,Aiman Sajidah A Aziz. Владелец: MIMOS BERHAD. Дата публикации: 2014-02-28.

Atomic layer deposition using multilayers

Номер патента: US20040221798A1. Автор: Arthur Sherman. Владелец: Individual. Дата публикации: 2004-11-11.

Method for producing a curved laminated glazing

Номер патента: US12054421B2. Автор: Juliette JAMART,Florian FLAMARY-MESPOULIE. Владелец: Saint Gobain Glass France SAS. Дата публикации: 2024-08-06.

Devices and methods for conveying neuron signals to a processing device

Номер патента: US20240257992A1. Автор: Andrew B. Schwartz,May Yoon PWINT. Владелец: University of Pittsburgh. Дата публикации: 2024-08-01.

Atomic layer etching using a boron-containing gas and hydrogen fluoride gas

Номер патента: US20180047577A1. Автор: Robert D. Clark,Kandabara N. Tapily. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-02-15.

Batch-processing method for super-high aspect ratio diffractive optics

Номер патента: US20170256330A1. Автор: Nicolaie A. Moldovan. Владелец: Alcorix Co. Дата публикации: 2017-09-07.

Janus membranes via atomic layer deposition

Номер патента: US12012559B2. Автор: Seth B. Darling,Ruben WALDMAN,Hao-Cheng Yang. Владелец: UChicago Argonne LLC. Дата публикации: 2024-06-18.

Method for recovering latent heat from a heat transfer medium

Номер патента: US4928752A. Автор: Magnus H. Von Platen. Владелец: Individual. Дата публикации: 1990-05-29.

Method for recovering latent heat from a heat transfer medium

Номер патента: WO1988007161A1. Автор: Magnus Von Platen. Владелец: Platen M Von. Дата публикации: 1988-09-22.

An epitaxial silicon wafer with intrinsic gettering and a method for the preparation thereof

Номер патента: WO2000034999A9. Автор: Charles C Yang,Gregory M Wilson,Jon A Rossi. Владелец: Jon A Rossi. Дата публикации: 2001-04-19.

An epitaxial silicon wafer with intrinsic gettering and a method for the preparation thereof

Номер патента: WO2000034999A3. Автор: Charles C Yang,Gregory M Wilson,Jon A Rossi. Владелец: Jon A Rossi. Дата публикации: 2000-11-16.

Semiconductor device and method of manufacturing the same by using atomic layer deposition

Номер патента: US20060267081A1. Автор: Jun-Seuck Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-11-30.

Method for via plating with seed layer

Номер патента: US09640431B2. Автор: Ming-Han Lee,Hsiang-Huan Lee,Ching-Fu YEH,Shin-Yi Yang,Tz-Jun Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-02.

Method of fabricating a uniformly aligned planar array of nanowires using atomic layer deposition

Номер патента: US9702059B2. Автор: Steven Howard Snyder. Владелец: Individual. Дата публикации: 2017-07-11.

Design and methods for measuring analytes using nanofabricated device

Номер патента: EP3317423A1. Автор: Steven Henck. Владелец: F Hoffmann La Roche AG. Дата публикации: 2018-05-09.

Design and methods for measuring analytes using nanofabricated device

Номер патента: US20240060956A1. Автор: Steven Henck. Владелец: Roche Sequencing Solutions Inc. Дата публикации: 2024-02-22.

And methods for measuring analytes using nanofabricated device

Номер патента: US11754549B2. Автор: Steven Henck. Владелец: Roche Sequencing Solutions Inc. Дата публикации: 2023-09-12.

Barrier films for plastic substrates fabricated by atomic layer deposition

Номер патента: EP1629543A1. Автор: Peter Francis Carcia,Robert Scott Mclean. Владелец: EI Du Pont de Nemours and Co. Дата публикации: 2006-03-01.

Apparatus and Method for cleaning object including a layer deposited by organic materials using ionic liquid

Номер патента: KR101520290B1. Автор: 박용석. Владелец: 주식회사 디엠에스. Дата публикации: 2015-05-18.

Masking methods for ALD processes for electrode-based devices

Номер патента: US20170025272A1. Автор: Ritwik Bhatia. Владелец: Ultratech Inc. Дата публикации: 2017-01-26.

Masking methods for ALD processes for electrode-based devices

Номер патента: US09633850B2. Автор: Ritwik Bhatia. Владелец: Ultratech Inc. Дата публикации: 2017-04-25.

A method for manufacturing a battery cell as well as a corresponding battery cell

Номер патента: GB2626584A. Автор: Glossmann Tobias. Владелец: Mercedes Benz Group AG. Дата публикации: 2024-07-31.

Anode and method for forming a zinc metal anode using molecular layer deposition

Номер патента: CA3123894A1. Автор: Jian Liu,Huibing He. Владелец: University of British Columbia. Дата публикации: 2021-09-16.

Method for manufacturing semiconductor device

Номер патента: US20060046421A1. Автор: Yoshitake Kato,Tomoe Yamamoto,Naomi Fukumaki,Tomohisa Iino. Владелец: NEC Electronics Corp. Дата публикации: 2006-03-02.

Method for manufacturing a memory resistor device

Номер патента: GB2589320A. Автор: Mehonic Adnan,Ng Wing,J Kenyon Anthony. Владелец: UCL BUSINESS LTD. Дата публикации: 2021-06-02.

Methods for fabricating integrated circuits with controlled p-channel threshold voltage

Номер патента: US20130109166A1. Автор: Klaus Hempel,Dina Triyoso,Elke Erben. Владелец: Globalfoundries Inc. Дата публикации: 2013-05-02.

System and Method for Maintaining a Smoothed Surface on a MEMS Device

Номер патента: US20180362338A1. Автор: Gary Yama,Jochen STEHLE. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2018-12-20.

System and method for maintaining a smoothed surface on a mems device

Номер патента: EP3397587A1. Автор: Gary Yama,Jochen STEHLE. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2018-11-07.

Method for forming silicon oxide cap layer for solid state diffusion process

Номер патента: US09607837B1. Автор: Kunitoshi Namba. Владелец: ASM IP Holding BV. Дата публикации: 2017-03-28.

Method for fabricating multiple layers of ultra narrow silicon wires

Номер патента: US09425060B2. Автор: HAO Zhang,Ming Li,Ru Huang,Yuancheng YANG,Jiewen Fan,Haoran Xuan. Владелец: PEKING UNIVERSITY. Дата публикации: 2016-08-23.

Method for composing and executing real-time task-sequencing plan

Номер патента: RU2678469C1. Автор: Венсан ДАВИД,Адриэн БАРБО. Владелец: Кроно-Сейф. Дата публикации: 2019-01-29.

Method for encapsulating a chalcogenide material

Номер патента: US09601693B1. Автор: Jon Henri,Dennis M. Hausmann,Bhadri N. Varadarajan,Seshasayee Varadarajan. Владелец: Lam Research Corp. Дата публикации: 2017-03-21.

Thin-film patterning method for magnetoresistive device

Номер патента: US20090145878A1. Автор: Naoki Ohta,Kazuki Sato,Kosuke Tanaka. Владелец: TDK Corp. Дата публикации: 2009-06-11.

Method for protecting layer by forming hydrocarbon-based extremely thin film

Номер патента: US09899291B2. Автор: Akinori Nakano,Kunitoshi Namba,Yuya Nonaka,Richika Kato,Seiji Okuro. Владелец: ASM IP Holding BV. Дата публикации: 2018-02-20.

Fast method for reactor and feature scale coupling in ALD and CVD

Номер патента: US09727672B2. Автор: Angel YANGUAS-GIL,Jeffrey W. Elam. Владелец: UChicago Argonne LLC. Дата публикации: 2017-08-08.

Semiconductor light emitting device and method for producing the same

Номер патента: US09553241B2. Автор: Masatsugu Ichikawa. Владелец: Nichia Corp. Дата публикации: 2017-01-24.

Method for manufacturing semiconductor device

Номер патента: US20170154997A1. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-06-01.

Method for manufacturing semiconductor device

Номер патента: US20150041802A1. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2015-02-12.

Method for fabricating a fine structure

Номер патента: US09773662B1. Автор: Chi-Wen Liu,Miin-Jang Chen,Po-Hsien Cheng. Владелец: National Taiwan University NTU. Дата публикации: 2017-09-26.

Method for manufacturing semiconductor device

Номер патента: US09601591B2. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-03-21.

Methods for semiconductor passivation by nitridation

Номер патента: US09711350B2. Автор: Petri Raisanen,Qi Xie,Jan Willem Maes,Michael Givens,Fu Tang. Владелец: ASM IP Holding BV. Дата публикации: 2017-07-18.

Method for making light emitting diode

Номер патента: US20150280054A1. Автор: Yang Wei,Shou-Shan Fan. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2015-10-01.

Post polish anneal of atomic layer deposition barrier layers

Номер патента: US20070004230A1. Автор: SRIDHAR Balakrishnan,Kevin O'Brien,Steven Johnston. Владелец: Intel Corp. Дата публикации: 2007-01-04.

Apparatus and methods for manipulation of atomic beams

Номер патента: WO2007034174A3. Автор: Ole Steuernagel. Владелец: Ole Steuernagel. Дата публикации: 2007-08-02.

Electrode structure, device comprising the same and method for forming electrode structure

Номер патента: WO2010085081A2. Автор: Sang In LEE. Владелец: SYNOS TECHNOLOGY, INC.. Дата публикации: 2010-07-29.

Apparatus and methods for manipulation of atomic beams

Номер патента: WO2007034174A2. Автор: Ole Steuernagel. Владелец: University of Hertfordshire. Дата публикации: 2007-03-29.

Atomic layer deposited dielectric layers

Номер патента: EP1599899A2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2005-11-30.

Methods for manufacturing magnetoresistive stack devices

Номер патента: US20240306513A1. Автор: Sanjeev Aggarwal,Sarin Deshpande,Santosh KARRE,Kerry NAGLE. Владелец: Everspin Technologies Inc. Дата публикации: 2024-09-12.

Systems and methods for enhanced dielectric properties for electrolytic capacitors

Номер патента: US09959978B2. Автор: Michael J. Root,Gregory J. Sherwood,Mary M. Byron. Владелец: Cardiac Pacemakers Inc. Дата публикации: 2018-05-01.

Electronic cigarette and method for assembling same

Номер патента: US09907340B2. Автор: Qiuming Liu. Владелец: Kimree Technology Co Ltd. Дата публикации: 2018-03-06.

Semiconductor device and method for making the same

Номер патента: US20220208698A1. Автор: Yu-Hsien Lin,Chao-Cheng Ting,Yu-An Li. Владелец: Pure Metallica Co Ltd. Дата публикации: 2022-06-30.

Methods for manufacturing magnetoresistive stack devices

Номер патента: EP3841624A1. Автор: Sanjeev Aggarwal,Kerry Nagel,Sarin Deshpande,Santosh KARRE. Владелец: Everspin Technologies Inc. Дата публикации: 2021-06-30.

Method and apparatus for stacking of timber and depositing laths and a method for using a lath

Номер патента: EP2780269A1. Автор: Jan Johansson,Gunnar Marklund,Peter Jonsson. Владелец: Renholmen AB. Дата публикации: 2014-09-24.

Thin-film solar cell module and method for manufacturing the same

Номер патента: US09634157B2. Автор: Hiroki Sugimoto,Keisuke Ishikawa,Masashi Kondou. Владелец: Solar Frontier KK. Дата публикации: 2017-04-25.

Methods for manufacturing batteries and related systems

Номер патента: US20240039008A1. Автор: Yuefeng Luo,Ii Charles James,Prabhu Ganesan. Владелец: Battelle Savannah River Alliance LLC. Дата публикации: 2024-02-01.

Device for atomizing a fluid

Номер патента: WO2017097365A1. Автор: Karin Bauer,Markus BLECHSCHMIDT,Sebastian Schwarz. Владелец: Airbus Defence and Space GmbH. Дата публикации: 2017-06-15.

Methods for manufacturing batteries and related systems

Номер патента: WO2024155295A2. Автор: Yuefeng Luo,Prabhu Ganesan,Charles JAMES II. Владелец: Battelle Savannah River Alliance, Llc. Дата публикации: 2024-07-25.

Thin-film transistor and method for forming the same

Номер патента: US09899534B2. Автор: Jinming LI. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-02-20.

Metal-insulator-metal stack and method for manufacturing the same

Номер патента: US09431474B2. Автор: Mihaela Ioana Popovici. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2016-08-30.

Methods of atomic layer deposition of hafnium oxide as gate dielectrics

Номер патента: WO2013177557A3. Автор: Jinhong Tong. Владелец: Intermolecular, Inc. Дата публикации: 2014-01-16.

Diaphragm valve for atomic layer deposition

Номер патента: GB2418005A. Автор: Teemu Lang,Hannu Leskinen,Pekka Kuosmanen,Bradley J Aitchison,Kari Haerkoenen,Jarmo Ilmari Maula. Владелец: Planar Systems Inc. Дата публикации: 2006-03-15.

Systems and methods for fabricating superconducting integrated circuits

Номер патента: WO2021146028A1. Автор: Jed D. Whittaker,Mark H. Volkmann,Reza Molavi. Владелец: D-WAVE SYSTEMS INC.. Дата публикации: 2021-07-22.

Diaphragm valve for atomic layer deposition

Номер патента: US20060174945A1. Автор: Kari Harkonen,Teemu Lang,Bradley Aitchison,Jarmo Maula,Hannu Leskinen,Pekka Kuosmanen. Владелец: Planar Systems Inc. Дата публикации: 2006-08-10.

Methods for forming self-aligned contacts using spin-on silicon carbide

Номер патента: WO2022177828A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-08-25.

Method for manufacturing hybrid moisture barrier layer

Номер патента: US11864412B2. Автор: Soon Hyung Kwon. Владелец: KOREA ELECTRONICS TECHNOLOGY INSTITUTE. Дата публикации: 2024-01-02.

Methods for Forming Self-Aligned Contacts Using Spin-on Silicon Carbide

Номер патента: US20220262679A1. Автор: Lior HULI,Junling Sun,Angelique RALEY,Andrew Metz. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-08-18.

Method for forming semiconductor device structure with gate electrode layer

Номер патента: US20210183707A1. Автор: Sai-Hooi Yeong,Jin-Aun Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-17.

Method for preparing lamination plan

Номер патента: US20240227051A9. Автор: Shuo Huang. Владелец: Kobe Steel Ltd. Дата публикации: 2024-07-11.

Multi-material deposition arrangement and method for deposition extrusion

Номер патента: WO2024105305A1. Автор: Tomi Kalpio,Dhayakumar PRAKASH. Владелец: Brinter Oy. Дата публикации: 2024-05-23.

Spray gun with high transfer efficiency and method for use thereof

Номер патента: EP3265237A1. Автор: Bert DELSARD. Владелец: Coatings Foreign Ip Co Llc. Дата публикации: 2018-01-10.

Method for forming polyatomic layers

Номер патента: US6800567B2. Автор: Ho Jin Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-10-05.

Method for isolating semiconductor devices with use of shallow trench isolation method

Номер патента: US7166519B2. Автор: Sang-Tae Ahn,Dong-Sun Sheen,Seok-Pyo Song. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-01-23.

Atomic layer deposition on 3d nand structures

Номер патента: US20240266177A1. Автор: YU PAN,Juwen Gao,Xiaolan Ba,Ruopeng DENG,Tianhua YU. Владелец: Lam Research Corp. Дата публикации: 2024-08-08.

Solid-phase source doping method for finfet structure

Номер патента: US20210407860A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2021-12-30.

Method for preparing array substrate and array substrate

Номер патента: US20240297188A1. Автор: Zhen Liu,Hejing ZHANG. Владелец: Chongqing Advance Display Technology Research. Дата публикации: 2024-09-05.

Methods and systems for layered deposition modelling with reinforced interlayer connections

Номер патента: EP3843976A1. Автор: Tom Heijmans,Jakobus Josephus VAN KESSEL. Владелец: Ultimaker Bv. Дата публикации: 2021-07-07.

Methods and systems for layered deposition modelling with reinforced interlayer connections

Номер патента: WO2020046125A1. Автор: Tom Heijmans,Jakobus Josephus VAN KESSEL. Владелец: Ultimaker B.V.. Дата публикации: 2020-03-05.

Method for patterning a semiconductor substrate

Номер патента: US09698015B2. Автор: Srinivas D. Nemani. Владелец: Applied Materials Inc. Дата публикации: 2017-07-04.

Semiconductor device and method for fabricating the same

Номер патента: US20230238240A1. Автор: Miin-Jang Chen,Chun-Yuan Wang. Владелец: National Taiwan University NTU. Дата публикации: 2023-07-27.

Device and method for additive casting of parts

Номер патента: EP3681721A1. Автор: Gil Lavi,Boaz Vinogradov. Владелец: Magnus Metal Ltd. Дата публикации: 2020-07-22.

Multi-well selenium device and method for fabrication thereof

Номер патента: EP3400615A1. Автор: Wei Zhao,Amirhossein Goldan. Владелец: Research Foundation of State University of New York. Дата публикации: 2018-11-14.

Multi-well selenium device and method for fabrication thereof

Номер патента: US20210111286A1. Автор: Wei Zhao,Amirhossein Goldan. Владелец: Research Foundation of State University of New York. Дата публикации: 2021-04-15.

Device and method for additive casting of metallic parts

Номер патента: US20200206810A1. Автор: Gil Lavi,Boaz Vinogradov. Владелец: Magnus Metal Ltd. Дата публикации: 2020-07-02.

System and method for scheduling jobs in a multi-core processor

Номер патента: US20140245308A1. Автор: Olivier Paviot,Filip Moerman,Raphael Defosseux. Владелец: Texas Instruments Inc. Дата публикации: 2014-08-28.

Method for executing atomic memory operations when contested

Номер патента: US12066941B2. Автор: Henry Cook,Wesley Waylon Terpstra,Leigang Kou,John Ingalls. Владелец: SiFive Inc. Дата публикации: 2024-08-20.

Method for executing atomic memory operations when contested

Номер патента: EP4208793A1. Автор: Henry Cook,Wesley Waylon Terpstra,Leigang Kou,John Ingalls. Владелец: SiFive Inc. Дата публикации: 2023-07-12.

Method for preventing photoresist poisoning in semiconductor fabrication

Номер патента: US20030040174A1. Автор: Pang Foo,Moitreyee Mukherjee-Roy,Cher Tan. Владелец: INSTITUTE OF MICROELECTRONICS. Дата публикации: 2003-02-27.

Method for Reducing Loss of Dielectric Layer in IO Silicon Oxide Removal Process

Номер патента: US20240071760A1. Автор: Zhenquan Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-02-29.

Methods for forming a semiconductor structure and related semiconductor structures

Номер патента: US12040184B2. Автор: David Kohen,Harald Benjamin Profijt,Andrew Kretzschmar. Владелец: ASM IP Holding BV. Дата публикации: 2024-07-16.

Systems, devices and methods for glucose sensing and associated methods

Номер патента: WO2022044018A3. Автор: Ofer Yodfat,Lior STRAM. Владелец: Tingo Medical Ltd.. Дата публикации: 2022-04-07.

Systems, devices and methods for glucose sensing and associated methods

Номер патента: US20230301557A1. Автор: Ofer Yodfat,Lior STRAM. Владелец: Tingo Medical Ltd. Дата публикации: 2023-09-28.

Multi-well selenium device and method for fabrication thereof

Номер патента: US20200243696A1. Автор: Wei Zhao,Amirhossein Goldan. Владелец: Research Foundation of State University of New York. Дата публикации: 2020-07-30.

Liquid for atomization and production method for same

Номер патента: EP4413873A1. Автор: Takuma Nakano,Hirofumi Matsumoto,Hirofumi Fujikura,Mai SUGIURA. Владелец: Japan Tobacco Inc. Дата публикации: 2024-08-14.

Liquid for atomization and production method for same

Номер патента: US20240245094A1. Автор: Takuma Nakano,Hirofumi Matsumoto,Hirofumi Fujikura,Mai SUGIURA. Владелец: Japan Tobacco Inc. Дата публикации: 2024-07-25.

Method for executing atomic memory operations when contested

Номер патента: US20220066936A1. Автор: Henry Cook,Wesley Waylon Terpstra,Leigang Kou,John Ingalls. Владелец: SiFive Inc. Дата публикации: 2022-03-03.

Method for the additive manufacturing of a metal part

Номер патента: US20240189911A1. Автор: Prigent Serge. Владелец: INSTITUT DE RECHERCHE TECHNOLOGIQUE JULES VERNE. Дата публикации: 2024-06-13.

Method for preparing high-resolution quantum dot (QD) pixelated light-emitting film

Номер патента: US12120941B1. Автор: YU Chen,Chengzhao Luo,Zhenwei Ren. Владелец: SUZHOU UNIVERSITY. Дата публикации: 2024-10-15.

Method for manufacturing thin film solar cell

Номер патента: US09941423B2. Автор: Jeung-Hyun Jeong,Won Mok Kim,Jong-Keuk Park. Владелец: Korea Advanced Institute of Science and Technology KAIST. Дата публикации: 2018-04-10.

Method for providing a probe device for scanning probe microscopy

Номер патента: US11480588B2. Автор: Bernd Irmer. Владелец: Nanotools GmbH. Дата публикации: 2022-10-25.

Method for manufacturing and structure for transistors with reduced gate to contact spacing

Номер патента: US20020106875A1. Автор: Mark Rodder,Keith Joyner. Владелец: Texas Instruments Inc. Дата публикации: 2002-08-08.

Method for providing a probe device for scanning probe microscopy

Номер патента: US20210318351A9. Автор: Bernd Irmer. Владелец: Nanotools GmbH. Дата публикации: 2021-10-14.

Method for providing a probe device for scanning probe microscopy

Номер патента: US20200341028A1. Автор: Bernd Irmer. Владелец: Nanotools GmbH. Дата публикации: 2020-10-29.

Systems and methods for producing fine particles

Номер патента: WO2008039647A3. Автор: John Scattergood. Владелец: John Scattergood. Дата публикации: 2008-09-18.

System and method for maintaining a smoothed and anti-stiction surface on a MEMS device

Номер патента: US10501314B2. Автор: Gary Yama,Jochen STEHLE. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2019-12-10.

System and method for maintaining a smoothed and anti-stiction surface on a mems device

Номер патента: WO2017114886A1. Автор: Gary Yama,Jochen STEHLE. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2017-07-06.

Designer atomic layer etching

Номер патента: WO2018118655A1. Автор: Keren Jacobs Kanarik. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2018-06-28.

System and method for maintaining a smoothed and anti-stiction surface on a mems device

Номер патента: EP3397585A1. Автор: Gary Yama,Jochen STEHLE. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2018-11-07.

System and Method for Maintaining a Smoothed and Anti-Stiction Surface on a MEMS Device

Номер патента: US20190016592A1. Автор: Gary Yama,Jochen STEHLE. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2019-01-17.

Semiconductor Wafer With A Heteroepitaxial Layer And A Method For Producing The Wafer

Номер патента: US20090236695A1. Автор: Peter Storck,Martin Vorderwestner. Владелец: SILTRONIC AG. Дата публикации: 2009-09-24.

Method for implanting and coding a read-only memory with automatic alignment at four corners

Номер патента: US20030092275A1. Автор: Chun Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-05-15.

Atomic layer deposition bonding layer for joining two semiconductor devices

Номер патента: US12094849B2. Автор: Chyi-Tsong Ni,Kuang-Wei Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-17.

Method for producing semiconductor device and semiconductor device

Номер патента: US09590098B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-03-07.

Spraying method and nozzle for atomization of a liquid

Номер патента: US09421508B2. Автор: Gianfranco Bedetti. Владелец: Casale SA. Дата публикации: 2016-08-23.

A semiconductor laser device and a method for manufacturing a semiconductor laser device

Номер патента: EP2748903A2. Автор: Silke Traut,Stephanie Saintenoy. Владелец: II VI Laser Enterprise GmbH. Дата публикации: 2014-07-02.

A semiconductor laser device and a method for manufacturing a semiconductor laser device

Номер патента: WO2013027041A2. Автор: Silke Traut,Stephanie Saintenoy. Владелец: OCLARO TECHNOLOGY LIMITED. Дата публикации: 2013-02-28.

Atomic layer deposition

Номер патента: US20100279515A1. Автор: Chen-Hua Yu,Liang-Gi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2010-11-04.

Atomic layer etching method

Номер патента: US20210193473A1. Автор: Sang Jun Park,Byung Chul Cho,Jin Sung Chun,Kwang Seon JIN,Jun Hyuck KWON. Владелец: Wonik Ips Co Ltd. Дата публикации: 2021-06-24.

Method for fabricating a patterned fd-soi wafer

Номер патента: US20240170325A1. Автор: Bomy Chen,Steve Nagel. Владелец: Microchip Technology Inc. Дата публикации: 2024-05-23.

Method for fabricating a patterned fd-soi wafer

Номер патента: WO2024107824A1. Автор: Bomy Chen,Steve Nagel. Владелец: MICROCHIP TECHNOLOGY INCORPORATED. Дата публикации: 2024-05-23.

Methods for chemically etching a target layer

Номер патента: US20240363358A1. Автор: Timothee Blanquart,Charles DEZELAH,René Henricus Jozef Vervuurt. Владелец: ASM IP Holding BV. Дата публикации: 2024-10-31.

Separated parallel beam generation for atom interferometry

Номер патента: US09952154B2. Автор: Adam Kelsey,Matthew A. SINCLAIR,Richard E. Stoner. Владелец: Charles Stark Draper Laboratory Inc. Дата публикации: 2018-04-24.

Methods for fabricating a memory device with an enlarged space between neighboring bottom electrodes

Номер патента: US09349952B1. Автор: Jun Okuno. Владелец: Sony Corp. Дата публикации: 2016-05-24.

Method for forming ultra-shallow boron doping regions by solid phase diffusion

Номер патента: US20120252197A1. Автор: Robert D. Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-10-04.

Atomic layer deposition of ultrathin tunnel barriers

Номер патента: US20190013463A1. Автор: Judy Z. Wu,Jamie Wilt,Ryan Goul,Jagaran Acharya. Владелец: University of Kansas. Дата публикации: 2019-01-10.

High Aspect Ratio Via Etch Using Atomic Layer Deposition Protection Layer

Номер патента: US20190181041A1. Автор: Xinghua Sun,Yen-Tien Lu,Eric Chih-Fang Liu,Andrew W. METZ. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-06-13.

Method for forming ultra-shallow boron doping regions by solid phase diffusion

Номер патента: US20140073122A1. Автор: Robert D Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-03-13.

Methods for forming 2-dimensional self-aligned vias

Номер патента: US09847252B2. Автор: Srinivas D. Nemani,Mehul Naik,Bencherki Mebarki. Владелец: Applied Materials Inc. Дата публикации: 2017-12-19.

Method for forming interconnection structures

Номер патента: US09496172B2. Автор: HUI Wang,Jian Wang,Zhaowei Jia. Владелец: ACM Research Shanghai Inc. Дата публикации: 2016-11-15.

Method for forming ultra-shallow boron doping regions by solid phase diffusion

Номер патента: US20150072510A1. Автор: Robert D Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-03-12.

Semiconductor device and method for manufacturing the same

Номер патента: US11600493B2. Автор: YU HUANG,Fulong Qiao,Pengkai Xu,Wenyan Sun. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2023-03-07.

Method for producing compound semiconductor light-emitting device

Номер патента: US8513118B2. Автор: Yoshimi TANIMOTO,Takanori Sonoda. Владелец: Sharp Corp. Дата публикации: 2013-08-20.

Method and apparatus for atomic layer etching

Номер патента: US11784029B2. Автор: Sang Jun Park,Byung Chul Cho,Kwang Seon JIN,Jun Hyuck KWON,Jong Ki An,Tian Hao HAN. Владелец: Wonik Ips Co Ltd. Дата публикации: 2023-10-10.

Method and apparatus for atomic layer etching

Номер патента: US20220059325A1. Автор: Sang Jun Park,Byung Chul Cho,Kwang Seon JIN,Jun Hyuck KWON,Jong Ki An,Tian Hao HAN. Владелец: Wonik Ips Co Ltd. Дата публикации: 2022-02-24.

Atomic layer deposition encapsulation

Номер патента: WO2009061704A3. Автор: Arrelaine Dameron,Neil Gough. Владелец: Hcf Partners L P. Дата публикации: 2009-08-20.

Utilizing atomic layer deposition for programmable device

Номер патента: US20030098461A1. Автор: Tyler Lowrey,Charles Dennison. Владелец: Individual. Дата публикации: 2003-05-29.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160343879A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-11-24.

Method for fabricating a gate structure

Номер патента: US20120018817A1. Автор: Ouyang Hui,Yi-Chen Huang,Matt Yeh,Fan-yi Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-01-26.

Method for manufacturing a semiconductor device and semiconductor device

Номер патента: US20140131791A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2014-05-15.

Method for producing a semiconductor device with surrounding gate transistor

Номер патента: US20150140755A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-05-21.

Atomic layer deposition epitaxial silicon growth for tft flash memory cell

Номер патента: US20130200384A1. Автор: Fumitake Mieno. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2013-08-08.

Device and method for preparing a spray-dried product

Номер патента: AU684420B2. Автор: Antonius Johannes Maria Bouman,Antonius Cornelis Boersen. Владелец: Stork Friesland BV. Дата публикации: 1997-12-11.

Device and method for preparing a spray-dried product

Номер патента: AU5592696A. Автор: Antonius Johannes Maria Bouman,Antonius Cornelis Boersen. Владелец: Stork Friesland BV. Дата публикации: 1997-01-09.

Method for forming stack capacitor

Номер патента: US20080261364A1. Автор: Chien-Li Cheng,Chih-Chiang Kuo,Tsung-Shin Wu,Shian-Hau Liao. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-23.

Method for improving height difference between gates

Номер патента: US20240021434A1. Автор: Jian Zhang. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-01-18.

Methods for stiction reduction in MEMS sensors

Номер патента: US09926192B2. Автор: Cerina Zhang,Nim Tea. Владелец: InvenSense Inc. Дата публикации: 2018-03-27.

Method for manufacturing thin-film support beam

Номер патента: US09862595B2. Автор: Errong JING. Владелец: CSMC Technologies Fab1 Co Ltd. Дата публикации: 2018-01-09.

Systems and methods for fabricating self-aligned resistive/magnetic memory cell

Номер патента: US09711714B2. Автор: Makoto Nagashima. Владелец: 4D S Pty Ltd. Дата публикации: 2017-07-18.

Method for producing semiconductor device and semiconductor device

Номер патента: US09647142B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-05-09.

Methods for post-epitaxial warp prediction and control

Номер патента: US09601395B2. Автор: Sumeet S. Bhagavat,Roland R. Vandamme. Владелец: SunEdison Semiconductor Pty Ltd. Дата публикации: 2017-03-21.

Monolayer touch screen and method for manufacturing the same

Номер патента: US09483147B2. Автор: WEI Liu,Genchu Tang,Bin Tang,Shengcai Dong. Владелец: Shenzhen OFilm Tech Co Ltd. Дата публикации: 2016-11-01.

Atomic layer deposition of CMOS gates with variable work functions

Номер патента: US20040036129A1. Автор: Leonard Forbes,Kie Ahn. Владелец: Micron Technology Inc. Дата публикации: 2004-02-26.

Video rate-enabling probes for atomic force microscopy

Номер патента: EP2108118A1. Автор: designation of the inventor has not yet been filed The. Владелец: Lee Chung Hoon. Дата публикации: 2009-10-14.

Video rate-enabling probes for atomic force microscopy

Номер патента: WO2008094688A9. Автор: . Владелец: Lee Chung Hoon. Дата публикации: 2008-12-24.

Method for implanting and coding a read-only memory with automatic alignment at four corners

Номер патента: US6649526B2. Автор: Chun Yi Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-11-18.

Isotropic atomic layer etch for silicon oxides using no activation

Номер патента: US09425041B2. Автор: Faisal Yaqoob,Pilyeon Park,Ivan L. Berry, III. Владелец: Lam Research Corp. Дата публикации: 2016-08-23.

Methods of atomic layer deposition of hafnium oxide as gate dielectrics

Номер патента: WO2013177557A2. Автор: Jinhong Tong. Владелец: Intermolecular, Inc. Дата публикации: 2013-11-28.

Method for improving size of contact holes of FDSOI device

Номер патента: US11271012B1. Автор: Tonghui Wang,Changfeng Wang,Duanquan LIAO. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-03-08.

Performing atomic layer etching using a silane-based chemistry

Номер патента: WO2024211411A1. Автор: James Sims,Ryan James GASVODA. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-10-10.

Atomic layer deposition on 3D NAND structures

Номер патента: US11972952B2. Автор: YU PAN,Juwen Gao,Xiaolan Ba,Ruopeng DENG,Tianhua YU. Владелец: Lam Research Corp. Дата публикации: 2024-04-30.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US20220102194A1. Автор: SHANG Gao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-03-31.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12014950B2. Автор: SHANG Gao. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-06-18.

Semiconductor device and fabrication method for the semiconductor device

Номер патента: US20090242947A1. Автор: Takuji Yamamura. Владелец: Toshiba Corp. Дата публикации: 2009-10-01.

Semiconductor device and fabrication method for the semiconductor device

Номер патента: US20100167473A1. Автор: Takuji Yamamura. Владелец: Toshiba Corp. Дата публикации: 2010-07-01.

3D atomic layer gate or junction extender

Номер патента: US09318318B1. Автор: MIN Yang,Effendi Leobandung,Dae-Gyu Park,Pouya Hashemi,Kevin K. Chan. Владелец: International Business Machines Corp. Дата публикации: 2016-04-19.

Method for forming L-shaped spacers with precise width control

Номер патента: US6664156B1. Автор: Jia Zhen Zheng,Eng Hua Lim,Wenhe Lin,Chew Hoe Ang. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-12-16.

Method for high temperature annealing of a nitride semiconductor layer

Номер патента: US10121876B2. Автор: Katsunori Ueno,Masaharu Edo,Shinya Takashima. Владелец: Fuji Electric Co Ltd. Дата публикации: 2018-11-06.

Semiconductor device and method for forming the same

Номер патента: US11942546B2. Автор: Kuan-Ting Chen,Min-Hung Lee,Shu-Tong Chang. Владелец: National Taiwan Normal University NTNU. Дата публикации: 2024-03-26.

Methods for preparing a titanium oxide film and a composite film comprising the same

Номер патента: US20160118243A1. Автор: Feng-Yu Tsai,Yuan-Yu Lin. Владелец: National Taiwan University NTU. Дата публикации: 2016-04-28.

Semiconductor device and method for making the same

Номер патента: US20240153873A1. Автор: Yu-Hsin Wu,Hui Tzu CHAN. Владелец: Nanya Technology Corp. Дата публикации: 2024-05-09.

Atomic layer deposition in acoustic wave resonators

Номер патента: WO2023091813A1. Автор: Douglas CARLSON,Rathnait LONG. Владелец: MACOM Technology Solutions Holdings, Inc.. Дата публикации: 2023-05-25.

Method for forming semiconductor structure

Номер патента: US20220216049A1. Автор: LU Gan,Wenguang Zhang,Weiwei Wu,Chunsheng Zheng,Lanfang SHI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-07-07.

Endpoint detection algorithm for atomic layer etching (ale)

Номер патента: SG11201901731WA. Автор: Yan Chen,Jason Ferns,Xinkang Tian. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-03-28.

Apparatus and method for measuring intermolecular interactions by atomic force microscopy

Номер патента: EP1076803A1. Автор: Gil U. Lee,John-Bruce Devault Green. Владелец: US Department of Navy. Дата публикации: 2001-02-21.

Apparatus and method for measuring intermolecular interactions by atomic force microscopy

Номер патента: AU3970199A. Автор: Gil U. Lee,John-Bruce Devault Green. Владелец: US Department of Navy. Дата публикации: 1999-11-29.

Apparatus and method for measuring intermolecular interactions by atomic force microscopy

Номер патента: EP1076803A4. Автор: Green John-Bruce Devault,Gil U Lee. Владелец: US Department of Navy. Дата публикации: 2001-07-25.

Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source

Номер патента: US09558939B1. Автор: Nyles Cody,Robert Stephenson. Владелец: Atomera Inc. Дата публикации: 2017-01-31.

Atomic layer deposition of iii-v compounds to form v-nand devices

Номер патента: US20220028870A1. Автор: Jan Willem Maes,Tom E. Blomberg,Varun Sharma. Владелец: ASM IP Holding BV. Дата публикации: 2022-01-27.

Fluid-ejection devices and a deposition method for layers thereof

Номер патента: US7025894B2. Автор: Arjang Fartash,Samson Berhane,Ulrich E. Hess. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2006-04-11.

Method for Fabricating Multiple Layers of Ultra Narrow Silicon Wires

Номер патента: US20160181114A1. Автор: HAO Zhang,Ming Li,Ru Huang,Yuancheng YANG,Jiewen Fan,Haoran Xuan. Владелец: PEKING UNIVERSITY. Дата публикации: 2016-06-23.

Fast method for reactor and feature scale coupling in ald and cvd

Номер патента: US20160253441A1. Автор: Angel YANGUAS-GIL,Jeffrey W. Elam. Владелец: UChicago Argonne LLC. Дата публикации: 2016-09-01.

Method for implementing an efficient and economical cathode process

Номер патента: WO2003030185A9. Автор: Kazuo Kikuchi,Jueng-gil Lee,Hidenori Kemmotsu,Matthew A Bonn. Владелец: Candescent Intellectual Prop. Дата публикации: 2003-12-11.

Selective atomic layer etching

Номер патента: WO2020005486A1. Автор: Eric Hudson,Nerissa Draeger,Andrew Clark SERINO,Chia-Chun Wang,Zhonghao Zhang. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2020-01-02.

Apparatus and method for a diamond substrate for a multi-layered dielectric diffraction grating

Номер патента: US09835778B1. Автор: Eric C. Honea,Andrew Xing. Владелец: Lockheed Martin Corp. Дата публикации: 2017-12-05.

Atomic layer deposited tantalum containing adhesion layer

Номер патента: US7601637B2. Автор: Steven W. Johnston,Kerry Spurgin,Brennan L. Peterson. Владелец: Intel Corp. Дата публикации: 2009-10-13.

Atomic layer deposition of III-V compounds to form V-NAND devices

Номер патента: US11956977B2. Автор: Jan Willem Maes,Tom E. Blomberg,Varun Sharma. Владелец: ASM IP Holding BV. Дата публикации: 2024-04-09.

Electrode structure, device comprising the same and method for forming electrode structure

Номер патента: EP2389689A2. Автор: Sang In LEE. Владелец: Synos Technology Inc. Дата публикации: 2011-11-30.

Atomic layer deposited (ald) oxide semiconductors for integrated circuits (ics)

Номер патента: US20230178441A1. Автор: Peide Ye,Mengwei Si. Владелец: PURDUE RESEARCH FOUNDATION. Дата публикации: 2023-06-08.

System and method for an improved personal vaporization device

Номер патента: US20190272359A1. Автор: Andrew Stewart,Peter Popplewell,Steven Penney. Владелец: Canopy Growth Corp. Дата публикации: 2019-09-05.

Method for manufacturing microfabrication apparatus

Номер патента: US6387713B2. Автор: Masaki Hara. Владелец: Sony Corp. Дата публикации: 2002-05-14.

System and method for etching organic anti-reflective coating from a substrate

Номер патента: WO1999030360A1. Автор: YAN Ye,Chun Yan,Diana Ma. Владелец: Applied Materials, Inc.. Дата публикации: 1999-06-17.

Vapor cell and method for making same

Номер патента: US09948314B2. Автор: Terrence Edward Dyer,Nathan Luke Brockie. Владелец: Texas Instruments Inc. Дата публикации: 2018-04-17.

Vapor cell and method for making same

Номер патента: US09639062B2. Автор: Terrence Edward Dyer,Nathan Luke Brockie. Владелец: Texas Instruments Inc. Дата публикации: 2017-05-02.

Sample, method for manufacturing sample, and method for measuring infrared absorption spectrum

Номер патента: US20230236221A1. Автор: Yuji Yamada,Takehiro Nakai,Machiko Ito. Владелец: Kioxia Corp. Дата публикации: 2023-07-27.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150303296A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-22.

Method for producing semiconductor device

Номер патента: US20170077267A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore PteLtd. Дата публикации: 2017-03-16.

Oscillator and method of making for atomic force microscope and other applications

Номер патента: US20080128385A1. Автор: Frederick Sachs,Arthur Beyder. Владелец: Individual. Дата публикации: 2008-06-05.

Method for fabrication of floating gate in semiconductor device

Номер патента: US20090176320A1. Автор: Jin-Ho Kim,Ki-Min Lee. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-07-09.

Method for etching a silicon containing surface

Номер патента: WO2019048693A1. Автор: Maxim Kelman,Clement Merckling,M. Ziaul Karim,Elie Schapmans,Francesco BUTTITTA,Sergej PASKO. Владелец: AIXTRON SE. Дата публикации: 2019-03-14.

Method for producing semiconductor device and semiconductor device

Номер патента: US09525038B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-12-20.

Gradient atomic layer deposition

Номер патента: US20200006132A1. Автор: Chia-Pang Kuo,Ya-Lien Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Limited dose atomic layer processes for localizing coatings on non-planar surfaces

Номер патента: US20200161140A1. Автор: Thomas E. Seidel,Michael Current. Владелец: Individual. Дата публикации: 2020-05-21.

Methods for manufacturing magnetoresistive stack devices

Номер патента: EP4336991A1. Автор: Sanjeev Aggarwal,Kerry Nagel,Sarin Deshpande,Santosh KARRE. Владелец: Everspin Technologies Inc. Дата публикации: 2024-03-13.

Methods for manufacturing magnetoresistive stack devices

Номер патента: US12022738B2. Автор: Sanjeev Aggarwal,Kerry Nagel,Sarin Deshpande,Santosh KARRE. Владелец: Everspin Technologies Inc. Дата публикации: 2024-06-25.

Semiconductor device and method for producing semiconductor device

Номер патента: US20150295057A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-15.

Sram cell having improved polysilicon resistor structures and method for forming the same

Номер патента: WO1997022148A1. Автор: Kuang-Yeh Chang,Yowjuang W. Liu. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 1997-06-19.

Patterning method for semiconductor device and structures resulting therefrom

Номер патента: US20200006082A1. Автор: Yi-Nien Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Methods for making solid-state lithium-ion batteries

Номер патента: WO2024173383A2. Автор: John C. Brewer,Terrence R. O'Toole,Robert G. ANSTEY,Alexander J. WARREN. Владелец: Graphenix Development, Inc.. Дата публикации: 2024-08-22.

Method for making quantitative analysis of nickel

Номер патента: US20030123607A1. Автор: Binn Kim,Kyu Park,Hyun Kwon. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2003-07-03.

Micro-electro-mechanical-system device with particles blocking function and method for making same

Номер патента: US20110108934A1. Автор: Chuan Wei WANG,Sheng Ta Lee. Владелец: PixArt Imaging Inc. Дата публикации: 2011-05-12.

Micro-Electro-Mechanical-System Device with Particles Blocking Function and Method for Making Same

Номер патента: US20100148321A1. Автор: Chuan Wei WANG,Sheng Ta Lee. Владелец: PixArt Imaging Inc. Дата публикации: 2010-06-17.

Methods for making solid-state lithium-ion batteries

Номер патента: WO2024173383A3. Автор: John C. Brewer,Terrence R. O'Toole,Robert G. ANSTEY,Alexander J. WARREN. Владелец: Graphenix Development, Inc.. Дата публикации: 2024-09-26.

Method for making quantitative analysis of nickel

Номер патента: US6829328B2. Автор: Binn Kim,Kyu Ho Park,Hyun Ja Kwon. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2004-12-07.

Display device using semiconductor light emitting device and method for manufacturing

Номер патента: US09865572B2. Автор: Byungjoon Rhee,Kyoungtae WI,Bongchu Shim. Владелец: LG ELECTRONICS INC. Дата публикации: 2018-01-09.

Method for producing surrounding gate semiconductor device

Номер патента: US09842902B1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2017-12-12.

EMI package and method for making same

Номер патента: US09818698B2. Автор: Der-Chyang Yeh,Chuei-Tang Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-14.

Molecular layer deposition

Номер патента: KR20070084683A. Автор: 성명모. Владелец: 국민대학교산학협력단. Дата публикации: 2007-08-27.

Method for manufacturing high performance multi layer ceramic capacitors

Номер патента: WO2012093081A1. Автор: Robert Mamazza Jr.,Glyn Jeremy Reynolds. Владелец: OC OERLIKON BALZERS AG. Дата публикации: 2012-07-12.

Nanoscale sofc electrode architecture engineered using atomic layer deposition

Номер патента: WO2016099607A1. Автор: Yun Chen,Xueyan Song,Kirk Gerdes,Shiwoo Lee. Владелец: WEST VIRGINIA UNIVERSITY. Дата публикации: 2016-06-23.

Atomic layer etch and ion beam etch patterning

Номер патента: US12080562B2. Автор: YANG Pan,Girish Dixit,Samantha SiamHwa Tan,Wenbing Yang,Tamal Mukherjee. Владелец: Lam Research Corp. Дата публикации: 2024-09-03.

Apparatus and method for viewing an industrial process such as a molten metal atomization process

Номер патента: US5520371A. Автор: Russell S. Miller,Steven A. Miller. Владелец: General Electric Co. Дата публикации: 1996-05-28.

Smoking device and method for aerosol-generation

Номер патента: CA3013531C. Автор: Oleg Mironov,Jerome Christian Courbat. Владелец: PHILIP MORRIS PRODUCTS SA. Дата публикации: 2024-05-28.

Method for manufacturing semiconductor structure

Номер патента: EP4322203A1. Автор: Youming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-14.

Conservation System and Method for Cleaning Produce through Mist Immersion in an Atomized Aqueous Medium

Номер патента: US20190262873A1. Автор: Gene HSU. Владелец: Individual. Дата публикации: 2019-08-29.

Self-assembled monolayers as an etchant in atomic layer etching

Номер патента: US20190189462A1. Автор: Eric Hudson. Владелец: Lam Research Corp. Дата публикации: 2019-06-20.

Self-assembled monolayers as an etchant in atomic layer etching

Номер патента: WO2019125721A1. Автор: Eric Hudson. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2019-06-27.

Hydrogen activated atomic layer etching

Номер патента: US09779956B1. Автор: Xin Zhang,Gerardo Delgadino,Daniel Le,Alan Jensen. Владелец: Lam Research Corp. Дата публикации: 2017-10-03.

Method for reducing ALD (atom layer deposition) process pipeline particles

Номер патента: CN102703882A. Автор: 江润峰. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2012-10-03.

APPARATUS AND METHOD FOR ATOMIC LAYER DEPOSITION

Номер патента: US20120003396A1. Автор: . Владелец: Nederlandse Organisatie voor toegepast-natuurweten schappelijk onderzoek TNO. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

Method for Preparing Small Volume Reaction Containers

Номер патента: US20120003675A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR ENHANCED PROCESSING CHAMBER CLEANING

Номер патента: US20120000490A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ISOLATING A VIEWPORT

Номер патента: US20120000301A1. Автор: LITTLE Edwin Jackson,PAVOL Mark J.. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING METAL THIN FILM

Номер патента: US20120000382A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME

Номер патента: US20120000519A1. Автор: FREY Jonathan Mack. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

Lead Frame and Method For Manufacturing the Same

Номер патента: US20120001307A1. Автор: . Владелец: LG INNOTEK CO., LTD.. Дата публикации: 2012-01-05.