• Главная
  • METHOD OF ISOLATING PATTERNS FORMED IN THIN FILM OF OXYDABLE SEMICONDUCTOR MATERIAL

METHOD OF ISOLATING PATTERNS FORMED IN THIN FILM OF OXYDABLE SEMICONDUCTOR MATERIAL

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method of making integrated circuits with tub-ties

Номер патента: US6054342A. Автор: Hans-Joachim Ludwig Gossmann,Thi-Hong-Ha Vuong. Владелец: Lucent Technologies Inc. Дата публикации: 2000-04-25.

Semiconductor device and method of manufacturing the same

Номер патента: US20120228678A1. Автор: Dong Hee Han. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-09-13.

Semiconductor device and method of manufacturing a semiconductor device

Номер патента: US20240047379A1. Автор: Alim Karmous,Norbert Labrenz. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2024-02-08.

Method of manufacturing semiconductor device including isolation process

Номер патента: US20090181510A1. Автор: Yong-Il Kim,Makoto Yoshida,Hyeong-Sun HONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-07-16.

Manufacturing method of semiconductor device including forming a recess filling pattern

Номер патента: US20230260828A1. Автор: Jonghyuk Park,Youngin Kim,Byoungho Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-08-17.

Semiconductor structure and method of manufacture

Номер патента: US20090148998A1. Автор: Michael Albert Tischler. Владелец: Individual. Дата публикации: 2009-06-11.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US20240282762A1. Автор: Shota IZUMI. Владелец: ROHM CO LTD. Дата публикации: 2024-08-22.

Method of manufacturing semiconductor device

Номер патента: US20200098561A1. Автор: Tatsuya Yamaguchi,Nagisa Sato,Syuji Nozawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-03-26.

Method of fabricating thin film transistor using metal induced lateral crystallization by etch-stopper layer patterns

Номер патента: US20060003504A1. Автор: Woon Paik. Владелец: Individual. Дата публикации: 2006-01-05.

Method of manufacturing semiconductor device

Номер патента: US20040097064A1. Автор: Tomohiro Hosokawa,Takashi Terada,Motoi Ashida,Yasuichi Masuda. Владелец: Renesas Technology Corp. Дата публикации: 2004-05-20.

Ultra-thin film formation using gas cluster ion beam processing

Номер патента: WO2010101688A1. Автор: Noel Russell,Edmund Burke,Gregory Herdt,John J. Hautala. Владелец: TEL EPION INC.. Дата публикации: 2010-09-10.

Method of manufacturing storage electrode in semiconductor device

Номер патента: US20010048127A1. Автор: Dong Kim,Sang Park,Seung Lee,Cha Dong. Владелец: Individual. Дата публикации: 2001-12-06.

Method of manufacturing storage electrode in semiconductor device

Номер патента: US20020066918A1. Автор: Dong Kim,Sang Park,Seung Lee,Cha Dong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-06-06.

Manufacturing method of semiconductor device, reticle correcting method, and reticle pattern data correcting method

Номер патента: US20070218673A1. Автор: Hiroko Nakamura. Владелец: Individual. Дата публикации: 2007-09-20.

Method of fabricating crystalline island on substrate

Номер патента: US20170154903A1. Автор: Douglas R. Dykaar. Владелец: DIFTEK LASERS Inc. Дата публикации: 2017-06-01.

Metal selenide and metal telluride thin films for semiconductor device applications

Номер патента: US20160372543A1. Автор: Qi Xie,Jan Willem Maes,Michael Eugene Givens,Fu Tang. Владелец: ASM IP Holding BV. Дата публикации: 2016-12-22.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Method of forming wiring pattern, and method of forming source electrode and drain electrode for TFT

Номер патента: US20060051500A1. Автор: Toshimitsu Hirai,Shinri Sakai. Владелец: Seiko Epson Corp. Дата публикации: 2006-03-09.

Semiconductor devices having pad isolation pattern

Номер патента: US20200350354A1. Автор: Byungjun Park,Younghwan CHOI,Jinju Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-11-05.

Method of improving film adhesion between metallic silicide and polysilicon in thin film integrated circuit structures

Номер патента: US4597163A. Автор: Juine-Kai Tsang. Владелец: Zilog Inc. Дата публикации: 1986-07-01.

Methods of forming transistors and devices comprising transistors

Номер патента: US20220189828A1. Автор: Kevin J. Torek. Владелец: Micron Technology Inc. Дата публикации: 2022-06-16.

Thin film transistor substrate and method of fabricating the same

Номер патента: US20060281317A1. Автор: Katsunori Misaki. Владелец: Sharp Corp. Дата публикации: 2006-12-14.

Method of manufacturing a thin-film electronic device with a laminated conductor

Номер патента: US6025218A. Автор: Stanley D. Brotherton. Владелец: US Philips Corp. Дата публикации: 2000-02-15.

Methods of forming semiconductor structures comprising thin film transistors including oxide semiconductors

Номер патента: US20200335405A1. Автор: Kevin J. Torek. Владелец: Micron Technology Inc. Дата публикации: 2020-10-22.

Methods of forming semiconductor structures comprising thin film transistors including oxide semiconductors

Номер патента: US20190067453A1. Автор: Kevin J. Torek. Владелец: Micron Technology Inc. Дата публикации: 2019-02-28.

Methods of forming semiconductor structures comprising thin film transistors including oxide semiconductors

Номер патента: WO2019046374A1. Автор: Kevin J. Torek. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2019-03-07.

Manufacturing method of semiconductor device

Номер патента: US20110039389A1. Автор: Koji Yamashita,Yasushi Akasaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2011-02-17.

Low temperature polysilicon film, thin film transistor, manufacturing method thereof and display panel

Номер патента: US20140332818A1. Автор: Zhen Liu. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2014-11-13.

Thin film transistors comprising zinc-oxide-based semiconductor materials

Номер патента: EP1891667A1. Автор: Andrea Carole Scuderi,David Howard Levy,Lyn Marie Irving. Владелец: Eastman Kodak Co. Дата публикации: 2008-02-27.

Thin film transistors comprising zinc-oxide-based semiconductor materials

Номер патента: EP1891666A1. Автор: Andrea Carole Scuderi,David Howard Levy,Lyn Marie Irving. Владелец: Eastman Kodak Co. Дата публикации: 2008-02-27.

Method of manufacturing semiconductor light emitting device

Номер патента: US10854773B2. Автор: Noritaka Niwa,Tetsuhiko Inazu,Kazushige IGARASHI. Владелец: Nikkiso Co Ltd. Дата публикации: 2020-12-01.

Method of fabricating an EL display device, and apparatus for forming a thin film

Номер патента: US20050005850A1. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2005-01-13.

Fabrication method of semiconductor wafer

Номер патента: US20040185665A1. Автор: Daisuke Kishimoto,Katsunori Ueno,Ryosuke Shimizu,Susumu Iwamoto,Satoshi Oka. Владелец: Fuji Electric Holdings Ltd. Дата публикации: 2004-09-23.

Method of fabricating an EL display device, and apparatus for forming a thin film

Номер патента: US6776880B1. Автор: Shunpei Yamazaki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2004-08-17.

Method of manufacturing semiconductor device having field plate electrode

Номер патента: US20140287574A1. Автор: Nobuhiro Takahashi,Yukie Nishikawa,Yasuhiko Akaike. Владелец: Toshiba Corp. Дата публикации: 2014-09-25.

METHOD OF MANUFACTURING SUBSTRATE STRUCTURE WITH FILLING MATERIAL FORMED IN CONCAVE PORTION

Номер патента: US20200091059A1. Автор: Lin Chang-Fu,Yao Chin-Tsai,Lin Chun-Tang,HUANG Fu-Tang. Владелец: . Дата публикации: 2020-03-19.

Method of and base station for controlling beam forming in a mobile cellular network

Номер патента: WO2009144074A1. Автор: Thorsten Wild. Владелец: ALCATEL LUCENT. Дата публикации: 2009-12-03.

Recrystallization method of polysilicon film in thin film transistor

Номер патента: US20020110964A1. Автор: Huang-Chung Cheng,Ching-Wei Lin,Li-Jing Cheng. Владелец: Individual. Дата публикации: 2002-08-15.

Method of forming chalcogenide thin film

Номер патента: US20110027976A1. Автор: Jung-Wook Lee,Dong-Ho You,Ki-hoon Lee. Владелец: IPS Ltd. Дата публикации: 2011-02-03.

Method of forming channel in thin film transistor using non-ionic excited species

Номер патента: MY134102A. Автор: Sakai Masahiro,TERAUCHI Masaharu. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2007-11-30.

Method of manufacturing semiconductor device

Номер патента: US20110081761A1. Автор: Yasushi Yamazaki,Teruyuki Mine,Katsumi Koge. Владелец: Elpida Memory Inc. Дата публикации: 2011-04-07.

Method of forming ferroelastic lead germanate thin films

Номер патента: US20020063265A1. Автор: Tingkai Li,Sheng Hsu,Fengyan Zhang,Yoshi Ono. Владелец: Individual. Дата публикации: 2002-05-30.

Method of manufacturing a thin-film transistor comprising a recombination center

Номер патента: US20030059989A1. Автор: Ichio Yudasaka,Piero Migliorato,Mitsutoshi Miyasaka. Владелец: Seiko Epson Corp. Дата публикации: 2003-03-27.

Method of forming low dielectric constant insulation film for semiconductor device

Номер патента: US20030124874A1. Автор: Nobuo Matsuki. Владелец: Individual. Дата публикации: 2003-07-03.

C-axis aligned crystalline igzo thin film and manufacture method thereof

Номер патента: US20190153595A1. Автор: Xuanyun Wang. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2019-05-23.

Manufacturing method of semiconductor device

Номер патента: US8168523B2. Автор: Hideto Ohnuma,Masayuki Sakakura. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-05-01.

Manufacturing method of semiconductor device

Номер патента: US20120202331A1. Автор: Hideto Ohnuma,Masayuki Sakakura. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2012-08-09.

Method of producing thin-film

Номер патента: US20240018654A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2024-01-18.

Method for manufacturing thin film

Номер патента: EP4249631A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2023-09-27.

Device and method for improving interface adhesion in thin film structures

Номер патента: US20070212795A1. Автор: Michael Gaidis,Keith Milkove. Владелец: Individual. Дата публикации: 2007-09-13.

Light emitting diode device and method of manufacturing the same

Номер патента: US20200091393A1. Автор: Sang Gab Kim,Shin Il Choi,Su Bin Bae,Yu Gwang Jeong,Joon Geol Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2020-03-19.

Light emitting diode device and method of manufacturing the same

Номер патента: US20230387096A1. Автор: Sang Gab Kim,Shin Il Choi,Su Bin Bae,Yu Gwang Jeong,Joon Geol Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-11-30.

Light emitting diode device and method of manufacturing the same

Номер патента: US20220005799A1. Автор: Sang Gab Kim,Shin Il Choi,Su Bin Bae,Yu Gwang Jeong,Joon Geol Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2022-01-06.

Method of manufacturing crystalline semiconductor thin film

Номер патента: EP2171746A2. Автор: Byoung Su Lee. Владелец: Siliconfile Technologies Inc. Дата публикации: 2010-04-07.

Method of manufacturing crystalline semiconductor thin film

Номер патента: WO2009014337A2. Автор: Byoung Su Lee. Владелец: SILICONFILE TECHNOLOGIES INC.. Дата публикации: 2009-01-29.

Method of manufacturing dual gate oxide devices

Номер патента: US20140342565A1. Автор: Jun Huang,Zhibiao Mao,Ermin Chong. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2014-11-20.

Manufacturing method of semiconductor device and adjusting apparatus

Номер патента: US9153459B2. Автор: Takashi Kyuho. Владелец: Toshiba Corp. Дата публикации: 2015-10-06.

Method of manufacturing semiconductor chips for display

Номер патента: MY114876A. Автор: Hisao Hayashi,Masumitsu Ino,Masahiro Minegishi,Takenobu Urazono,Shizuo Nishihara,Masafumi Kunii. Владелец: Sony Corp. Дата публикации: 2003-02-28.

Method of forming a conformal oxide film

Номер патента: US20020106907A1. Автор: Ching-Yu Chang. Владелец: Individual. Дата публикации: 2002-08-08.

Process for making thin film solar cell

Номер патента: US5045409A. Автор: Chris Eberspacher,James H. Ermer,Kim W. Mitchell. Владелец: Atlantic Richfield Co. Дата публикации: 1991-09-03.

Method of forming a bottom-gate thin film transistor

Номер патента: US20020045299A1. Автор: Nigel Young. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2002-04-18.

A method of forming a bottom-gate thin film transistor

Номер патента: EP1316109A1. Автор: Nigel D. Young. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2003-06-04.

CMOS thin film transistor and method of manufacturing the same

Номер патента: US20030111691A1. Автор: Woo-Young So. Владелец: Samsung SDI Co Ltd. Дата публикации: 2003-06-19.

Thin film transistor and method of preparing the same

Номер патента: US20180097115A1. Автор: Zhiwu Wang. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-04-05.

Manufacturing method of semiconductor device having semiconductor layers with different thicknesses

Номер патента: US8962418B2. Автор: Yutaka Hoshino. Владелец: Renesas Electronics Corp. Дата публикации: 2015-02-24.

Semiconductor device and method of manufacturing the same

Номер патента: US20030160285A1. Автор: Mika Shiiki. Владелец: Individual. Дата публикации: 2003-08-28.

Semiconductor device and method of manufacturing the same

Номер патента: US20190305075A1. Автор: Hiroaki Takasu. Владелец: Ablic Inc. Дата публикации: 2019-10-03.

Method of manufacturing a semiconductor device, pattern correction apparatus, and computer-readable recording medium

Номер патента: US20080241972A1. Автор: Tomohiko Yamamoto. Владелец: Fujitsu Ltd. Дата публикации: 2008-10-02.

Method of crystallizing silicon, apparatus therefore, thin film transistor and display apparatus

Номер патента: WO2005078168A3. Автор: Ui-Jin Chung,Dong-Byum Kim,Se-Jin Chung. Владелец: Se-Jin Chung. Дата публикации: 2007-07-12.

A method for fabricating thin films

Номер патента: EP2274771A1. Автор: Bing Liu,Makoto Murakami,Yong Che,Yuzuru Uehara,Zhenlin Liu,Zhendong Hu. Владелец: IMRA America Inc. Дата публикации: 2011-01-19.

Manufacturing method of semiconductor device

Номер патента: SG178705A1. Автор: Fuse Genshu,Sugitani Michiro. Владелец: SEN Corp. Дата публикации: 2012-03-29.

Method of fabricating multi-fingered semiconductor devices on a common substrate

Номер патента: US20110171801A1. Автор: Akif Sultan. Владелец: Globalfoundries Inc. Дата публикации: 2011-07-14.

Exposure pattern forming method and exposure pattern

Номер патента: US20050174557A1. Автор: Kazuhisa Ogawa,Hidetoshi Ohnuma. Владелец: Sony Corp. Дата публикации: 2005-08-11.

Thin-film transistor and method of manufacturing the same

Номер патента: US20160149046A1. Автор: Takashi Okada,Akihiro Hanada,Hajime Watakabe,Masayoshi Fuchi,Arichika Ishiba. Владелец: Japan Display Inc. Дата публикации: 2016-05-26.

Method of forming single crystal silicon thin film using sequential lateral solidification (SLS)

Номер патента: US20050176189A1. Автор: Se-Young Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-08-11.

Semiconductor component and method of manufacture

Номер патента: US20160225843A1. Автор: Akinobu ONISHI,Takashi Oomikawa. Владелец: Deutsche Bank AG New York Branch. Дата публикации: 2016-08-04.

Microcrystalline silicon deposition for thin film solar applications

Номер патента: EP2206156A1. Автор: Soo Young Choi,Shuran Sheng,Yong Kee Chae. Владелец: Applied Materials Inc. Дата публикации: 2010-07-14.

Patterned thin film graphite devices and method for making same

Номер патента: EP1636829A2. Автор: Claire Berger,Walt Deheer,Phillip First. Владелец: Georgia Tech Research Corp. Дата публикации: 2006-03-22.

Mask blank and method of manufacturing an imprint mold

Номер патента: US8273505B2. Автор: Takashi Sato,Mitsuhiro Kureishi. Владелец: Hoya Corp. Дата публикации: 2012-09-25.

Method of forming a thin film transistor on a transparent plate

Номер патента: US6861301B2. Автор: Yuan-Tung Dai. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2005-03-01.

Multi-Level Cell Thin-Film Transistor Memory and Method of Fabricating the Same

Номер патента: US20200119033A1. Автор: Wei Zhang,Wenjun Liu,Shibing QIAN,Shijin Ding. Владелец: FUDAN UNIVERSITY. Дата публикации: 2020-04-16.

Semiconductor memory device and method of manufacturing the same

Номер патента: US20020000590A1. Автор: Shintaro Yamamichi. Владелец: NEC Corp. Дата публикации: 2002-01-03.

Method for forming an absorber layer of a thin film solar cell

Номер патента: US8569101B2. Автор: Tadahiro Kubota,Daisuke Okamura,Katustoshi Nosaki. Владелец: Honda Motor Co Ltd. Дата публикации: 2013-10-29.

Semiconductor memory device and method of manufacturing the same

Номер патента: US20020175358A1. Автор: Shintaro Yamamichi. Владелец: NEC Corp. Дата публикации: 2002-11-28.

Method of Fabricating Thin, Crystalline Silicon Film and Thin Film Transistors

Номер патента: US20240234142A9. Автор: Ramesh kumar Harjivan Kakkad. Владелец: Individual. Дата публикации: 2024-07-11.

Atomic layer deposition of p-type oxide semiconductor thin films

Номер патента: EP3241234A1. Автор: Kenji Nomura,John Hyunchul Hong. Владелец: SnapTrack Inc. Дата публикации: 2017-11-08.

Atomic layer deposition of p-type oxide semiconductor thin films

Номер патента: US20160190290A1. Автор: Kenji Nomura,John Hyunchul Hong. Владелец: Qualcomm Mems Technologies Inc. Дата публикации: 2016-06-30.

Method of forming a semiconductor structure including a vertical nanowire

Номер патента: US20140206157A1. Автор: Ralf Illgen,Stefan Flachowsky,Tim Baldauf,Tom Herrmann. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-24.

Damascene patterning for thin-film transistor fabrication

Номер патента: WO2019009873A1. Автор: Kevin Lin,Jack Kavalieros,Van Le. Владелец: Intel Corporation. Дата публикации: 2019-01-10.

Integrated semiconductor circuits and methods of making integrated semiconductor circuits

Номер патента: US20070228459A1. Автор: Theodore Kamins. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2007-10-04.

Method of producing, metal contacts with low absorption losses on gallium phosphide luminescence diodes

Номер патента: US3976813A. Автор: Claus Weyrich. Владелец: SIEMENS AG. Дата публикации: 1976-08-24.

Method of making an enhanced optical absorption and radiation tolerance in thin-film solar cells and photodetectors

Номер патента: US7109517B2. Автор: Saleem H. Zaidi. Владелец: Individual. Дата публикации: 2006-09-19.

Imager having improved thin film transistor and photosensitive device structures

Номер патента: CA2048785A1. Автор: Robert F. Kwasnick. Владелец: General Electric Co. Дата публикации: 1992-04-06.

Display panel and method of manufacturing thereof

Номер патента: US20210327984A1. Автор: Baixiang Han. Владелец: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2021-10-21.

Method of manufacturing display unit

Номер патента: US20130089940A1. Автор: Toshiaki Arai,Takashige Fujimori. Владелец: Sony Corp. Дата публикации: 2013-04-11.

Display apparatus and method of manufacturing the same

Номер патента: US20230320136A1. Автор: Seulki Kim,Seungrae Kim,Yeeun KANG,Shoyeon KIM,Donghyun WON. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-10-05.

Methods of fabricating and contacting ultra-small semiconductor devices

Номер патента: US5956568A. Автор: Sung P. Pack,Kumar Shiralagi. Владелец: Motorola Inc. Дата публикации: 1999-09-21.

Semiconductor devices having a hybrid channel layer, current aperture transistors and methods of fabricating same

Номер патента: US20050258450A1. Автор: Adam Saxler. Владелец: Individual. Дата публикации: 2005-11-24.

Fabricating method of low temperature poly-silicon film and low temperature poly-silicon thin film transistor

Номер патента: US20040206986A1. Автор: Yun-sheng Chen. Владелец: AU OPTRONICS CORP. Дата публикации: 2004-10-21.

Fabrication Method Of Semiconductor Film, Semiconductor Film, And Field Effect Transistor

Номер патента: US20180053701A1. Автор: Junichi Takeya,Junshi SOEDA. Владелец: University of Tokyo NUC. Дата публикации: 2018-02-22.

Method of making a coated article, coating including an alloyed carbon nanotube thin film

Номер патента: WO2011109121A1. Автор: Vijayen S. Veerasamy. Владелец: Guardian Industries Corp.. Дата публикации: 2011-09-09.

Method of manufacturing bipolar device and structure thereof

Номер патента: US20020079510A1. Автор: Tae-Hyeon Han,Deok-Ho Cho,Soo-Min Lee,Byung Ryum. Владелец: ASB Inc. Дата публикации: 2002-06-27.

Fabrication method of thin film transistor substrate for X-ray detector

Номер патента: US20030096441A1. Автор: Ik kim. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2003-05-22.

Display device and manufacturing method of the same

Номер патента: US20080173873A1. Автор: Kunihiko Watanabe,Junichi Uehara,Miyo Ishii. Владелец: Individual. Дата публикации: 2008-07-24.

Method of forming thin film transistor array substrate

Номер патента: US20170012092A1. Автор: Ki Soub Yang,Seung Ryul Choi,Kyoung Jin PARK,Kang Hyun KIM,Sam Jong LEE. Владелец: LG Display Co Ltd. Дата публикации: 2017-01-12.

Thin film transistor and method of fabricating the same

Номер патента: US20060073648A1. Автор: Byoung-Keon Park,Jin-Wook Seo,Ki-Yong Lee,Tae-Hoon Yang. Владелец: Samsung SDI Co Ltd. Дата публикации: 2006-04-06.

Thin film transistor and method of fabricating the same

Номер патента: US20060243193A1. Автор: Byoung-Keon Park,Jin-Wook Seo,Ki-Yong Lee,Tae-Hoon Yang. Владелец: Samsung SDI Co Ltd. Дата публикации: 2006-11-02.

Method of fabricating thin film transistor

Номер патента: US20030211668A1. Автор: Makoto Takatoku. Владелец: Individual. Дата публикации: 2003-11-13.

Organic Light-Emitting Display Device and Method of Manufacturing the Same

Номер патента: US20120074412A1. Автор: Sung-Ho Kim. Владелец: Samsung Mobile Display Co Ltd. Дата публикации: 2012-03-29.

Integration of embedded thin film capacitors in package substrates

Номер патента: US20160329153A1. Автор: Daniel N. Sobieski,Robert L. Sankman,Sri Ranga Sai Boyapati. Владелец: Intel Corp. Дата публикации: 2016-11-10.

Semiconductor Material and Method of Production

Номер патента: US20130269783A1. Автор: Phillip Dale,Susanne Siebentritt,Dominik Berg,Alex Redinger. Владелец: TDK Corp. Дата публикации: 2013-10-17.

Semiconductor material and method of production

Номер патента: US9306111B2. Автор: Phillip Dale,Susanne Siebentritt,Dominik Berg,Alex Redinger. Владелец: TDK Corp. Дата публикации: 2016-04-05.

Semiconductor memory device and method of fabricating the same

Номер патента: US20240081043A1. Автор: Yukihiro Nagai. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-03-07.

Display panel assembly and method of manufacturing a display panel therefrom

Номер патента: US20170003527A1. Автор: JUNG Chul Woo,Ju Yong Park,Jun-Hee Lee,Hyun Wook Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-01-05.

Electro-optical device, method of manufacturing the same, and electronic apparatus

Номер патента: US20050156170A1. Автор: Yuichi Shimizu,Katsumi Asada. Владелец: Seiko Epson Corp. Дата публикации: 2005-07-21.

Thin-film resistor and method of manufacturing the same

Номер патента: US20050258513A1. Автор: Ebenezer Eshun. Владелец: International Business Machines Corp. Дата публикации: 2005-11-24.

Method of forming p-n junction on zno thin film and p-n junction thin film

Номер патента: US20030183818A1. Автор: Young-Chang Kim,Sang-Yeol Lee. Владелец: Individual. Дата публикации: 2003-10-02.

Method of reading an nvm cell that utilizes a gated diode

Номер патента: US20110007570A1. Автор: Peter J. Hopper,Yuri Mirgorodski,Roozbeh Parsa. Владелец: National Semiconductor Corp. Дата публикации: 2011-01-13.

Method of fabricating memory and memory

Номер патента: US20060063279A1. Автор: Shigeharu Matsushita,Kazunari Honma. Владелец: Sanyo Electric Co Ltd. Дата публикации: 2006-03-23.

Solid state image pickup device and method of fabricating the same

Номер патента: US20050035270A1. Автор: Yasushi Maruyama. Владелец: Sony Corp. Дата публикации: 2005-02-17.

Chalcogenide-based solar cell and method of manufacturing such a cell

Номер патента: WO2009061190A2. Автор: Marian Nanu,Bernard Meester. Владелец: Advanced Surface Technology B.V.. Дата публикации: 2009-05-14.

Method of erasing an nvm cell that utilizes a gated diode

Номер патента: US20110007574A1. Автор: Peter J. Hopper,Yuri Mirgorodski,Roozbeh Parsa. Владелец: National Semiconductor Corp. Дата публикации: 2011-01-13.

Method of analyzing strain of thin film by using stc method

Номер патента: US20210372869A1. Автор: Wonjae Chang. Владелец: Individual. Дата публикации: 2021-12-02.

Levelling film and method of its operation

Номер патента: RU2528987C2. Автор: Масато АСАИ. Владелец: Тейдзин Дюпон Филмз Джэпэн Лимитед. Дата публикации: 2014-09-20.

Manufacturing method of oxide semiconductor thin film transistor

Номер патента: US20150236137A1. Автор: Hsi-Ming Chang. Владелец: Chunghwa Picture Tubes Ltd. Дата публикации: 2015-08-20.

Semiconductor device and method of manufacturing the same

Номер патента: EP1953813A3. Автор: Kunio Hosoya,Saishi Fujikawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-09-06.

Manufacturing method of display device and exposure system for that

Номер патента: US7655510B2. Автор: Takahiro Miyazaki,Yoshiaki Nakayoshi,Ken Ohara,Jun Ooida. Владелец: Hitachi Displays Ltd. Дата публикации: 2010-02-02.

HGCDTE thin film transistor

Номер патента: US5534719A. Автор: Roland J. Koestner,Michael A. Kinch,Richard A. Schiebel. Владелец: Texas Instruments Inc. Дата публикации: 1996-07-09.

Flat panel display device and method of manufacturing the same

Номер патента: US20110241010A1. Автор: Kyung-Min Park. Владелец: Samsung Mobile Display Co Ltd. Дата публикации: 2011-10-06.

Array substrate for liquid crystal display device and method of fabricating the same

Номер патента: US8629951B2. Автор: Jin-Seok Lee,Sang-Hyup Lee. Владелец: LG Display Co Ltd. Дата публикации: 2014-01-14.

Manufacturing method of display device and exposure system for that

Номер патента: US20080036987A1. Автор: Takahiro Miyazaki,Yoshiaki Nakayoshi,Ken Ohara,Jun Ooida. Владелец: Hitachi Displays Ltd. Дата публикации: 2008-02-14.

Method for manufacturing thin film

Номер патента: EP4249629A1. Автор: Akihiro Nishida,Tomoharu Yoshino,Masako HATASE,Yoshiki OOE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2023-09-27.

Dichalcogenide selenium ink and methods of making and using same

Номер патента: EP2287115A3. Автор: David Mosley,Kevin Calzia,Charles Szmanda. Владелец: Rohm and Haas Electronic Materials LLC. Дата публикации: 2011-04-06.

Thin-film transistor and manufacturing method thereof

Номер патента: US10153379B2. Автор: Zhe Chen. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-12-11.

Thin film transistor substrate and method fabricating the same

Номер патента: US20130105790A1. Автор: Yao-Chou Tsai,Fang-An Shu,Wen-Chung Tang,Ted-Hong Shinn. Владелец: E Ink Holdings Inc. Дата публикации: 2013-05-02.

Array substrate for liquid crystal display device and method of fabricating the same

Номер патента: US20140099736A1. Автор: Jin-Seok Lee,Sang-Hyup Lee. Владелец: LG Display Co Ltd. Дата публикации: 2014-04-10.

Thin-film transistor and manufacturing method thereof

Номер патента: US20180226507A1. Автор: Zhe Chen. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-08-09.

Globally planarized backend compatible thin film resistor contact/interconnect process

Номер патента: US20030030107A1. Автор: Khanh Tran,Viktor Zekeriya. Владелец: Maxim Integrated Products Inc. Дата публикации: 2003-02-13.

Method of manufacturing layer-stacked wiring

Номер патента: US20110053354A1. Автор: Jun Tanaka,Hiroshi Kanoh. Владелец: NEC Corp. Дата публикации: 2011-03-03.

Method of measuring thickness of a ultra-thin film

Номер патента: US11215450B2. Автор: Kyung Joong KIM,Tae Gun Kim. Владелец: Korea Research Institute of Standards and Science KRISS. Дата публикации: 2022-01-04.

Method of forming a thin film

Номер патента: EP4256114A1. Автор: Peter KROGSTRUP JEPPESEN,Keita OTANI. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2023-10-11.

Display Device And Manufacturing Method Of The Same

Номер патента: US20100327287A1. Автор: Kunihiko Watanabe,Junichi Uehara,Miyo Ishii. Владелец: Individual. Дата публикации: 2010-12-30.

Image sensor and method of fabricating the same

Номер патента: US20240258353A1. Автор: Hyunchul Kim,Eun-Ji Lee,Tae-Hun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-01.

Image sensor and method of fabricating the same

Номер патента: US12046617B2. Автор: Hyunchul Kim,Eun-Ji Lee,Tae-Hun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-23.

Non-volatile memory devices and methods of forming the same

Номер патента: US20070090449A1. Автор: Dong-gun Park,Choong-ho Lee,Byung-yong Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-04-26.

Array substrate, method of manufacturing the same, and display panel

Номер патента: US20230411528A1. Автор: Liang Hu,Bin Liu. Владелец: TCL China Star Optoelectronics Technology Co Ltd. Дата публикации: 2023-12-21.

Thin film formation method and manufacturing method for semiconductor device

Номер патента: US20090253264A1. Автор: Junichi Horie. Владелец: Mitsubishi Electric Corp. Дата публикации: 2009-10-08.

Method Of Manufacturing Thin Film Transistor

Номер патента: US20020117671A1. Автор: Yoshinori Tateishi. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2002-08-29.

Thin film resistor head structure and method for reducing head resistivity variance

Номер патента: EP1872389A1. Автор: Philipp Steinmann,Eric W. Beach. Владелец: Texas Instruments Inc. Дата публикации: 2008-01-02.

Thin film resistor head structure and method for reducing head resistivity variance

Номер патента: WO2006110871A8. Автор: Philipp Steinmann,Eric W Beach. Владелец: Eric W Beach. Дата публикации: 2007-04-12.

Dielectric thin film, method of manufacturing same, and applications thereof

Номер патента: US20130252436A1. Автор: Nobuyuki Soyama,Jun Fujii,Hideaki Sakurai. Владелец: Mitsubishi Materials Corp. Дата публикации: 2013-09-26.

A method for detecting defects in thin film layers

Номер патента: EP3847686A1. Автор: Anthony O'hara,Daniel Drysdale. Владелец: Memsstar Ltd. Дата публикации: 2021-07-14.

Method for detecting defects in thin film layers

Номер патента: US11740185B2. Автор: Anthony O'hara,Daniel Drysdale. Владелец: Memsstar Ltd. Дата публикации: 2023-08-29.

Thin film transistor and method for manufacturing the same

Номер патента: US20020076862A1. Автор: Jr-Hong Chen,I-Min Lu. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2002-06-20.

Encapsulation method of an oled and oled device

Номер патента: US20170149009A1. Автор: Deming Liu. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-05-25.

Thin film semiconductor device and method of manufacturing the same

Номер патента: US20060267895A1. Автор: Jirou Yanase. Владелец: NEC Corp. Дата публикации: 2006-11-30.

A thin film semiconductor device and method of manufacturing a thin film semiconductor device

Номер патента: EP1593163A2. Автор: David Thomas Britton,Margit Härting. Владелец: University of Cape Town. Дата публикации: 2005-11-09.

Encapsulation structure of display unit and method of forming the same

Номер патента: US20160322604A1. Автор: Huan JIANG,ChienLin Wu,Hsinju HO. Владелец: EverDisplay Optronics Shanghai Co Ltd. Дата публикации: 2016-11-03.

Flat Panel Display Device with Oxide Thin Film Transistors and Method for Fabricating the Same

Номер патента: US20150079732A1. Автор: Ji Eun Chae,Tae Keun Lee. Владелец: LG Display Co Ltd. Дата публикации: 2015-03-19.

Method of fabricating thin-film transistor

Номер патента: US20010035528A1. Автор: Fang-Chen Luo,Chien-Sheng Yang. Владелец: Unipac Optoelectronics Corp. Дата публикации: 2001-11-01.

Thin film transistor, method of fabricating thin film transistor and array substrate

Номер патента: US20190267493A1. Автор: Zhen Song,Guoying Wang,Hongda Sun. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-08-29.

Method of production of semiconductor thin films

Номер патента: WO2012066116A1. Автор: Phillip Dale,Susanne Siebentritt,Dominik Berg,Alex Redinger. Владелец: UNIVERSITE DU LUXEMBOURG. Дата публикации: 2012-05-24.

Lead salt thin films, devices, and methods of manufacture

Номер патента: US20230087030A1. Автор: Binbin Weng. Владелец: University of Oklahoma . Дата публикации: 2023-03-23.

Thin film transistor and method of manufacturing the same

Номер патента: US20010002047A1. Автор: Mitsuaki Suzuki,Shinichi Kamagami,Takuji Nakazono. Владелец: Toshiba Corp. Дата публикации: 2001-05-31.

Mosfet having channel in bulk semiconductor and source/drain on insulator, and method of fabrication

Номер патента: US20060267061A1. Автор: Gregory Howard. Владелец: Texas Instruments Inc. Дата публикации: 2006-11-30.

Method of designing thin film transistor

Номер патента: US20240005077A1. Автор: LING Li,Ming Liu,Wenfeng Jiang,Jiawei Wang,Nianduan Lu,Di GENG. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2024-01-04.

Method of fabricating thin film transistor

Номер патента: US20010018240A1. Автор: Tae-Kyung Kim,Seung-Ki Joo. Владелец: Individual. Дата публикации: 2001-08-30.

Image sensor and a method of manufacturing the same

Номер патента: US20240266376A1. Автор: Kook Tae Kim,JinGyun Kim,Jonghyeon Noh,Byeongtaek Bae. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-08.

MOTHERBOARD, PRODUCTION METHOD OF MOTHERBOARD, AND DEVICE SUBSTRATE (amended

Номер патента: US20110140110A1. Автор: Yohsuke Fujikawa. Владелец: Individual. Дата публикации: 2011-06-16.

Method of fabricating array substrate, array substrate and display device

Номер патента: US20230230983A1. Автор: WEI YANG,Xinhong Lu. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2023-07-20.

Production method of display substrate, display substrate, and display apparatus

Номер патента: US20200185465A1. Автор: Wenjun HOU. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2020-06-11.

Thin film transistor and method for fabricating thin film transistor

Номер патента: US20110198592A1. Автор: Sun Jae Kim,Min Koo Han. Владелец: SNU R&DB FOUNDATION. Дата публикации: 2011-08-18.

Thin film transistor, manufacturing method of same, and cmos inverter

Номер патента: US20210366989A1. Автор: Huafei XIE. Владелец: TCL China Star Optoelectronics Technology Co Ltd. Дата публикации: 2021-11-25.

Gallium arsenide based materials used in thin film transistor applications

Номер патента: US9780223B2. Автор: Robert Jan Visser,Kaushal K. Singh,Bhaskar Kumar. Владелец: Applied Materials Inc. Дата публикации: 2017-10-03.

Display device and method of manufacturing the same

Номер патента: US20230027414A1. Автор: Shin Il Choi,Gyungmin BAEK,Taewook Kang,Hyunah SUNG. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-01-26.

Forming layers with different conductivity types, etching, and epitaxially growing semiconductor material

Номер патента: NZ568487A. Автор: Igor Sankin,Joseph Neil Merrett. Владелец: Semisouth Lab Inc. Дата публикации: 2011-07-29.

Method of manufacturing back-surface electrode type solar cell

Номер патента: US20110065229A1. Автор: Donghoon Kim,Jaewoo Joung,Kyoung-Jin JEONG,Sungil Oh. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2011-03-17.

Display Device and Method of Manufacturing Thin Film Transistor

Номер патента: US20150346565A1. Автор: Kazunori Okumoto. Владелец: Mitsubishi Electric Corp. Дата публикации: 2015-12-03.

Piezoelectric device and method of manufacturing piezoelectric device

Номер патента: US20210143316A1. Автор: Takayuki Naono,Takami Arakawa. Владелец: Fujifilm Corp. Дата публикации: 2021-05-13.

Treatment liquid and pattern forming method

Номер патента: US20190258168A1. Автор: Tetsuya Kamimura,Michihiro Shirakawa,Tadashi OOMATSU. Владелец: Fujifilm Corp. Дата публикации: 2019-08-22.

Method of manufacturing amorphous silicon based thin film photoelectric conversion device

Номер патента: EP1032054B1. Автор: Kenji Yamamoto,Masashi Yoshimi. Владелец: Kaneka Corp. Дата публикации: 2006-07-05.

Thin film based structure, related flexible electronic device and their method of making

Номер патента: US20240237537A9. Автор: Shiyuan LIU,Zhengbao Yang. Владелец: City University of Hong Kong CityU. Дата публикации: 2024-07-11.

Thin-film device and method of manufacturing same

Номер патента: US20070108553A1. Автор: Masahiro Miyazaki,Akira Furuya,Hajime Kuwajima. Владелец: TDK Corp. Дата публикации: 2007-05-17.

Method Of Making Split-Gate Memory Cell With Substrate Stressor Region

Номер патента: US20150200278A1. Автор: Nhan Do,Mandana TADAYONI. Владелец: Silicon Storage Technology Inc. Дата публикации: 2015-07-16.

Display apparatus and method of manufacturing the same

Номер патента: US20200381659A1. Автор: Hyun Kim,Sunhee Lee,Sunho Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2020-12-03.

Schottky barrier thin film transistor and its method of manufacture

Номер патента: WO2020128508A1. Автор: Aimin Song,Jiawei Zhang,Joshua Wilson. Владелец: THE UNIVERSITY OF MANCHESTER. Дата публикации: 2020-06-25.

Schottky barrier thin film transistor and its method of manufacture

Номер патента: EP3884525A1. Автор: Aimin Song,Jiawei Zhang,Joshua Wilson. Владелец: University of Manchester. Дата публикации: 2021-09-29.

Thin film capacitor, and display device and memory cell employing the same, and manufacturing methods of them

Номер патента: US20100149853A1. Автор: Hiroyuki Moriwaki. Владелец: Individual. Дата публикации: 2010-06-17.

Thin film capacitor, and display device and memory cell employing the same, and manufacturing methods of them

Номер патента: US8426867B2. Автор: Hiroyuki Moriwaki. Владелец: Sharp Corp. Дата публикации: 2013-04-23.

Image sensor device and methods of forming the same

Номер патента: US12057467B2. Автор: Chia-Ping Lai,Chung-Chuan Tseng,Chiao-Chi Wang,Yeh-Hsun Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Method of manufacturing a transistor

Номер патента: US10014386B2. Автор: Nicolas Posseme,Christian Arvet. Владелец: Commissariat a lEnergie Atomique et aux Energies Alternatives CEA. Дата публикации: 2018-07-03.

Semiconductor device and trimming method of the same

Номер патента: US12061123B2. Автор: Shinji Kawashima,Shin TAMURA,Hisao Kobashi. Владелец: Renesas Electronics Corp. Дата публикации: 2024-08-13.

Method of manufacturing thin film capacitor and thin film capacitor

Номер патента: US20130071554A1. Автор: Yoshihiko Yano,Yasunobu Oikawa. Владелец: TDK Corp. Дата публикации: 2013-03-21.

Thin film based structure, related flexible electronic device and their method of making

Номер патента: US20240138266A1. Автор: Shiyuan LIU,Zhengbao Yang. Владелец: City University of Hong Kong CityU. Дата публикации: 2024-04-25.

Image sensor and method of manufacturing thereof

Номер патента: US20240282796A1. Автор: Jungho Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-22.

Method and apparatus for achieving low resistance contact to a metal based thin film solar cell

Номер патента: EP2304779A1. Автор: Bulent M. Basol,Mustafa Pinarbasi. Владелец: SoloPower Inc. Дата публикации: 2011-04-06.

Method of forming a high density planar core memory

Номер патента: US3823033A. Автор: C Leonard,E Stone. Владелец: Ncr. Дата публикации: 1974-07-09.

Method of producing negative electrode for lithium secondary cell

Номер патента: CA2350455C. Автор: Shosaku Yamanaka,Hirokazu Kugai,Nobuhiro Ota. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2010-04-13.

Method of making a color separation filter including monomolecular film layers of coupled dyestuff

Номер патента: US4824748A. Автор: Hideo Saeki,Shigeyuki Uematsu. Владелец: Mitsubishi Electric Corp. Дата публикации: 1989-04-25.

Method of manufacturing multiwire lead assemblies

Номер патента: US4819329A. Автор: Vladimir Drits,Thomas W. Haley,Dale R. Johnson. Владелец: Innovex Inc. Дата публикации: 1989-04-11.

Method of manufacturing laser diode device

Номер патента: US8563343B2. Автор: Takashi Motoda. Владелец: Mitsubishi Electric Corp. Дата публикации: 2013-10-22.

Method of and base station for controlling beam forming in a mobile cellular network

Номер патента: US8055303B2. Автор: Thorsten Wild. Владелец: Alcatel Lucent SAS. Дата публикации: 2011-11-08.

Method of protecting patierned magnetic materials of a stack

Номер патента: US20140308439A1. Автор: Yuan Xu,Koichi Wago,Justin Jia-Jen Hwu,Zhaohui Fan,David Shiao-Min Kuo. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2014-10-16.

Method of protecting patterned magnetic materials

Номер патента: SG186563A1. Автор: Yuan Xu,Koichi Wago,Justin Jia-Jen Hwu,Zhaohui Fan,David Shiao-Min Kuo. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2013-01-30.

Perpendicular magnetic recording medium and method of manufacturing the medium

Номер патента: SG146515A1. Автор: Hiroyuki Uwazumi,Tadaaki Oikawa. Владелец: Fuji Elec Device Tech Co Ltd. Дата публикации: 2008-10-30.

Perpendicular magnetic recording medium and method of manufacturing the medium

Номер патента: MY148602A. Автор: Hiroyuki Uwazumi,Tadaaki Oikawa. Владелец: Fuji Electric Co Ltd. Дата публикации: 2013-05-15.

Methods of fabricating porous membrane

Номер патента: US20220331747A1. Автор: Chung-Yuan Mou,Kuo-Lun Tung,Jingling Yang,Geng-Sheng Lin. Владелец: National Taiwan University NTU. Дата публикации: 2022-10-20.

Method of manufacturing coil unit in thin film type for compact actuator

Номер патента: US20180182543A9. Автор: Joung Sek Kwon. Владелец: ENEBRAIN Co Ltd. Дата публикации: 2018-06-28.

Method of manufacturing coil unit in thin film type for compact actuator

Номер патента: US20180019058A1. Автор: Joung Sek Kwon. Владелец: ENEBRAIN Co Ltd. Дата публикации: 2018-01-18.

Polymer electret and method of manufacturing the same

Номер патента: US20160102223A1. Автор: Tae Hee Lee,Yi Joon Ahn,Won Sang Park,Myung Im KIM,Yong Suk Yeo,Suk Man Yang. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-04-14.

Method of isolating semiconductor laser diodes

Номер патента: US20090155939A1. Автор: Youn Joon Sung,Su Hee Chae. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2009-06-18.

Sputtering system for depositing thin film and method for depositing thin film

Номер патента: US20110079509A1. Автор: Shinichi Morohashi. Владелец: Individual. Дата публикации: 2011-04-07.

SOFC interconnect barriers and methods of making same using masks

Номер патента: US10541429B2. Автор: Jeffrey F. Roeder,Peter C. Van Buskirk. Владелец: Sonata Scientific LLC. Дата публикации: 2020-01-21.

Thin-film electrode assembly with soft overmold

Номер патента: US20200030599A1. Автор: Brian Pepin,Bo Lu,Shiv SABESAN. Владелец: Verily Life Sciences LLC. Дата публикации: 2020-01-30.

Thin-film electrode assembly with soft overmold

Номер патента: WO2018212833A1. Автор: Brian Pepin,Bo Lu,Shiv SABESAN. Владелец: Verily Life Sciences LLC. Дата публикации: 2018-11-22.

Liquid precursor injection for thin film deposition

Номер патента: US20230128366A1. Автор: Niloy Mukherjee,Miguel Saldana,Alex Finkelman. Владелец: Eugenus Inc. Дата публикации: 2023-04-27.

Liquid precursor injection for thin film deposition

Номер патента: US20220154332A1. Автор: Niloy Mukherjee,Miguel Saldana,Alex Finkelman. Владелец: Eugenus Inc. Дата публикации: 2022-05-19.

Method of isolating ions

Номер патента: WO2015071647A3. Автор: Jason Lee Wildgoose,John Brian Hoyes,Steven Derek Pringle,Farnoush Salarzaei. Владелец: MICROMASS UK LIMITED. Дата публикации: 2015-11-19.

Method of making a thin film memory

Номер патента: US3607677A. Автор: Irving W Wolf,Andre A Jaecklin. Владелец: Ampex Corp. Дата публикации: 1971-09-21.

Methods of providing electrically-conductive silver

Номер патента: US20180147602A1. Автор: Deepak Shukla,Kevin M. Donovan. Владелец: Eastman Kodak Co. Дата публикации: 2018-05-31.

Electromagnetic field generator and method of operation

Номер патента: EP1112588A1. Автор: Alan V. Hayes,Roger P. Fremgen,Hari S. Hegde,Mihai S. Risca,Abraham J. Navy. Владелец: Veeco Instruments Inc. Дата публикации: 2001-07-04.

Thin film batteries and methods for manufacturing same

Номер патента: EP2291876A2. Автор: Michael Stowell,Nety Krishna,Byung Sung Kwak. Владелец: Applied Materials Inc. Дата публикации: 2011-03-09.

A method of manufacturing solid state battery cathodes for use in batteries

Номер патента: GB2588948A. Автор: Ian Joseph Gruar Robert. Владелец: Dyson Technology Ltd. Дата публикации: 2021-05-19.

Conduction system for thin film and hybrid integrated circuits

Номер патента: CA1042557A. Автор: John H. Thomas (Iii),Joseph M. Morabito,Nathan G. Lesh. Владелец: Western Electric Co Inc. Дата публикации: 1978-11-14.

Radiator coated with heat dissipation layer, and method of coating radiator

Номер патента: US20230040390A1. Автор: Minwoo Jeong,Jaemin Lee,Bongjun Kim,Sehyeon Kim,Wonjoon Choi. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-02-09.

Organic semiconductor device and organic semiconductor thin film

Номер патента: EP1995801A1. Автор: Yoshihiro Miyamoto,Mao Katsuhara,Akito Ugawa,Toshiyuki Kunikiyo. Владелец: Sony Corp. Дата публикации: 2008-11-26.

Organic-inorganic-hybrid thin film and method of manufacturing the same

Номер патента: US20190010340A1. Автор: Kyung Hoon Lee,Sang Min Kim,Chong Kwang Yoon,Dong Shin YUN. Владелец: UNID CO Ltd. Дата публикации: 2019-01-10.

N-type semiconductor materials for thin film transistors

Номер патента: EP1825533A2. Автор: Deepak Shukla,Diane Carol FREEMAN,Shelby Forrester Nelson. Владелец: Eastman Kodak Co. Дата публикации: 2007-08-29.

SYSTEMS AND METHODS OF READING AND PROCESSING CHANGE-OF-ADDRESS FORMS IN A CLOUD-BASED ARCHITECTURE

Номер патента: US20170270358A1. Автор: Li Hongjian,Salemizadeh Abdul Hamid. Владелец: . Дата публикации: 2017-09-21.

Method of forming a pattern on a surface

Номер патента: CA2016529C. Автор: Stephen Temple,Stuart Speakman. Владелец: Xaar Ltd. Дата публикации: 2001-01-09.

N-type semiconductor materials in thin film transistors

Номер патента: EP2266150A1. Автор: Deepak Shukla,Thomas Robert Welter. Владелец: Eastman Kodak Co. Дата публикации: 2010-12-29.

Double-bit non-volatile memory structure and corresponding method of manufacture

Номер патента: US20020121657A1. Автор: Chin-Yang Chen. Владелец: United Microelectronics Corp. Дата публикации: 2002-09-05.

Double-bit non-volatile memory structure and corresponding method of manufacture

Номер патента: US20030022443A1. Автор: Chin-Yang Chen. Владелец: Individual. Дата публикации: 2003-01-30.

Method of recording isolated network formation

Номер патента: RU2734165C1. Автор: Фолькер Дидрихс. Владелец: Воббен Пропертиз Гмбх. Дата публикации: 2020-10-13.

Methods of increasing ultrasonic signal reception

Номер патента: WO2020243700A1. Автор: Amit Lal,Justin Kuo. Владелец: Geegah LLC. Дата публикации: 2020-12-03.

Display device and method of fabricating the same

Номер патента: US20240251613A1. Автор: Guanghai Jin,Yong Seog Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-07-25.

Thin-film transistor device and manufacturing method

Номер патента: EP2006929A3. Автор: Tadashi Arai,Tomihiro Hashizume,Takeo Shiba,Yuji Suwa. Владелец: HITACHI LTD. Дата публикации: 2011-05-18.

Piezoelectric actuator and method of compensating direction thereof

Номер патента: US6690100B1. Автор: Tomoyuki Yoshino,Keitaro Koroishi,Hironobu Itoh. Владелец: Seiko Instruments Inc. Дата публикации: 2004-02-10.

Interconnect substrate and method of making the same

Номер патента: US20240155760A1. Автор: Yuji Yukiiri. Владелец: Shinko Electric Industries Co Ltd. Дата публикации: 2024-05-09.

Wiring board and method of making the same

Номер патента: US20090229869A1. Автор: Koji Kondo,Toshihisa Taniguchi,Keiji Okamoto,Toshikazu Harada,Atusi Sakaida. Владелец: Denso Corp. Дата публикации: 2009-09-17.

Display panel and method of manufacturing the same

Номер патента: US20240196666A1. Автор: Byung Yong Ahn,Won So Son,Chang Hyeon Cho. Владелец: LG Display Co Ltd. Дата публикации: 2024-06-13.

Method for preparing a lead-free piezoelectric thin film

Номер патента: US20130064970A1. Автор: Kui Yao,Phoi Chin Goh. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2013-03-14.

Method of manufacturing a thin-film thermo-electric generator

Номер патента: US20080057611A1. Автор: Jens Schultz,Matthias Stordeur,Bernd Engers. Владелец: Angaris GmbH. Дата публикации: 2008-03-06.

Thin film board, circuit element, manufacturing method of circuit element, and electric signal transmission method

Номер патента: US20210368624A1. Автор: Kota Kuramitsu. Владелец: Anritsu Corp. Дата публикации: 2021-11-25.

Method of manufacturing a piezoelectric thin film element

Номер патента: US7089636B2. Автор: Masami Murai. Владелец: Seiko Epson Corp. Дата публикации: 2006-08-15.

Method of manufacturing printed wiring boards and substrate used for the method

Номер патента: WO2004012487A1. Автор: Toshiyuki Suzuki,Nobuhiro Yoshioka. Владелец: Matsushita Electric Works, Ltd.. Дата публикации: 2004-02-05.

Organic thin film transistor and method of manufacturing organic thin film transistor

Номер патента: US11765917B2. Автор: Eijiro Iwase. Владелец: Fujifilm Corp. Дата публикации: 2023-09-19.

Method of manufacturing a piezoelectric thin film

Номер патента: US12063023B2. Автор: Sang Jeong An. Владелец: Wavelord Co Ltd. Дата публикации: 2024-08-13.

Ferroelectric memory cell with shunted ferroelectric capacitor and method of making same

Номер патента: EP1016088A1. Автор: David A. Kamp. Владелец: CELIS SEMICONDUCTER Corp. Дата публикации: 2000-07-05.

A method of improving the charge injection to organic films in organic thin film devices

Номер патента: CA2573593A1. Автор: PING Wang,Chuan-Fan Ding. Владелец: Individual. Дата публикации: 2006-01-19.

Vibrating plate of dynamic microphone and method of manufacturing the same

Номер патента: US20050135652A1. Автор: Hiroshi Akino. Владелец: Audio Technica KK. Дата публикации: 2005-06-23.

Display apparatus and method of manufacturing the same

Номер патента: US20230389396A1. Автор: Jongwoo PARK,Hyunmin Hwang,Youngtae Choi. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-11-30.

Thin film magnetic head and method of manufacturing the same

Номер патента: US20100177441A1. Автор: Takayasu Kanaya. Владелец: TDK Corp. Дата публикации: 2010-07-15.

Microelectromechanical systems component and method of making same

Номер патента: EP2259995A1. Автор: Daniel N. Koury, Jr.,Lianjun Liu,Melvy F. Miller. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2010-12-15.

Multilayered optical thin-film filter, method of designing the same and filter module utilizing the same

Номер патента: US20040196557A1. Автор: Shigeki Takeda. Владелец: Kyocera Corp. Дата публикации: 2004-10-07.

Thin film heater and method of making

Номер патента: US20220201803A1. Автор: James Andrew DICKSON. Владелец: Thinnovations LLC. Дата публикации: 2022-06-23.

Methods of processing signals, apparatus, and base station

Номер патента: EP3348083A1. Автор: FENG Zhou,YE Wu,Xu Zhang,Wenting CHANG,Guangjie Li,Yujun CHEN,Jiansong TIAN. Владелец: Intel Corp. Дата публикации: 2018-07-18.

Piezoelectric device and method of manufacturing piezoelectric device

Номер патента: EP3846231A1. Автор: Takayuki Naono,Takami Arakawa. Владелец: Fujifilm Corp. Дата публикации: 2021-07-07.

Thin film transistor and method for producing the same

Номер патента: US8742409B2. Автор: Nobuhide Yoneya,Mao Katsuhara. Владелец: Sony Corp. Дата публикации: 2014-06-03.

Method of manufacturing micro flow path device, and micro flow path device

Номер патента: US20230285959A1. Автор: Takayuki Komori. Владелец: Nok Corp. Дата публикации: 2023-09-14.

Piezoelectric device and method of manufacturing piezoelectric device

Номер патента: US12063864B2. Автор: Takayuki Naono,Takami Arakawa. Владелец: Fujifilm Corp. Дата публикации: 2024-08-13.

Manufacturing method of piezoelectric components

Номер патента: US20020175601A1. Автор: Nobuyuki Hori. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2002-11-28.

Optical method measuring thin film growth

Номер патента: US20040239953A1. Автор: Colin Flynn. Владелец: Qinetiq Ltd. Дата публикации: 2004-12-02.

Optical method for measuring thin film growth

Номер патента: US20070141734A1. Автор: Colin Flynn. Владелец: Qinetiq Ltd. Дата публикации: 2007-06-21.

Optical method measuring thin film growth

Номер патента: EP1425551A2. Автор: Colin James Flynn. Владелец: Qinetiq Ltd. Дата публикации: 2004-06-09.

Method and apparatus of forming thin films

Номер патента: US5755888A. Автор: Shigenori Hayashi,Hideo Torii,Ryoichi Takayama,Eiji Fujii. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1998-05-26.

Method of producing array substrate including alignment film and method of producing liquid crystal panel

Номер патента: US20200019023A1. Автор: Kohshiroh Taniike. Владелец: Sharp Corp. Дата публикации: 2020-01-16.

A method of producing a tin oxide coating

Номер патента: WO2003066931A8. Автор: Carolus Ida Maria Antoniu Spee,Mol Antonius Maria Bernard Van. Владелец: Mol Antonius Maria Bernard Van. Дата публикации: 2003-12-24.

Pattern forming method and pattern forming apparatus

Номер патента: US20160243753A1. Автор: Yoshihisa Kawamura,Masayuki Hatano. Владелец: Toshiba Corp. Дата публикации: 2016-08-25.

Method and apparatus for forming thin film

Номер патента: US9574269B2. Автор: Susumu Takada,Katsushige Harada. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-02-21.

Method of creating a casing in a borehole

Номер патента: OA10498A. Автор: Wilhelmus Christianus Lohbeck,Daljit Singh Gill,Robert Bruce Stewart,Jacobus Petrus Maria Van Vliet. Владелец: Shell Int Research. Дата публикации: 2002-04-12.

Method of sealing an annular space in a wellbore

Номер патента: MY143661A. Автор: Martin Gerard Rene Bosma,Erik Kerst Cornelissen,John Alexander Gordon Dewar. Владелец: Shell Int Research. Дата публикации: 2011-06-30.

Method of forming an article

Номер патента: CA1172015A. Автор: Walter J. Rozmus. Владелец: Kelsey Hayes Co. Дата публикации: 1984-08-07.

Method of sealing an annular space in a wellbore

Номер патента: CA2585498C. Автор: Martin Gerard Rene Bosma,Erik Kerst Cornelissen,John Alexander Gordon Dewar. Владелец: Shell Canada Ltd. Дата публикации: 2013-10-15.

Method of qualifying a borehole survey

Номер патента: OA10770A. Автор: Robin Adrianus Hartmann. Владелец: Shell Int Research. Дата публикации: 2002-12-13.

Method of and apparatus for sensing the direction of magnetization of ferromagnetic thin film devices

Номер патента: MY6900187A. Автор: . Владелец: Texas Instruments Inc. Дата публикации: 1969-12-31.

Method of forming ionically-charged, encapsulated colorant nanoparticles

Номер патента: US20110269901A1. Автор: Hou T. Ng,Doris Pik-Yiu Chun. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2011-11-03.

Pattern forming method and template manufacturing method

Номер патента: US11809082B2. Автор: Mitsuru Kondo. Владелец: Kioxia Corp. Дата публикации: 2023-11-07.

Method of post-treating textile materials in rope form in jet-dyeing apparatuses

Номер патента: EP0132605A1. Автор: Hans-Ulrich Dr. Von Der Eltz. Владелец: Hoechst AG. Дата публикации: 1985-02-13.

Method of manufacturing a composite foamed polyolefin sheet

Номер патента: US4097319A. Автор: Hayao Shimokawa,Naonori Shiina,Hideyo Ueno,Isamu Namiki,Makoto Nakamuri. Владелец: Furukawa Electric Co Ltd. Дата публикации: 1978-06-27.

Method of, and apparatus for, establishing self-biased single wall domains

Номер патента: US3968481A. Автор: Yeong S. Lin,Philip J. Grundy. Владелец: International Business Machines Corp. Дата публикации: 1976-07-06.

Method of generating uniform pores in thin polymer films

Номер патента: WO2002081097A1. Автор: Sudarsan Srinivasan. Владелец: Aradigm Corporation. Дата публикации: 2002-10-17.

Thin film magnetic head and method of manufacturing the same

Номер патента: US20010012184A1. Автор: Yoshitaka Sasaki,Atsushi Iijima. Владелец: TDK Corp. Дата публикации: 2001-08-09.

Semiconductor Sensor and Method of Manufacturing the Same

Номер патента: EP2226620A3. Автор: Katsuyuki Inoue,Yoshitaka Adachi. Владелец: Omron Tateisi Electronics Co. Дата публикации: 2014-01-08.

Methods of screening an agent in an isolated eye of a teleost

Номер патента: EP2187975A1. Автор: Patricia Mcgrath,Wen Lin Seng. Владелец: Phylonix Pharmaceuticals Inc. Дата публикации: 2010-05-26.

Methods of Screening an Agent for an Activity in an Isolated Eye of a Teleost

Номер патента: US20130281320A1. Автор: Patricia Mcgrath,Wen Lin Seng. Владелец: Phylonix Pharmaceuticals Inc. Дата публикации: 2013-10-24.

Methods of screening an agent in an isolated eye of a teleost

Номер патента: WO2008154641A1. Автор: Patricia Mcgrath,Wen Lin Seng. Владелец: Phylonix Pharmaceuticals, Inc.. Дата публикации: 2008-12-18.

Methods of Screening an Agent for an Activity in an Isolated Eye of a Teleost

Номер патента: US20110218119A1. Автор: Patricia Mcgrath,Wen Lin Seng. Владелец: Phylonix Pharmaceuticals Inc. Дата публикации: 2011-09-08.

Applicator for rotary sprayer and method of its operation

Номер патента: RU2430790C2. Автор: Ханс-Юрген НОЛЬТЕ,Харальд ГУММЛИХ. Владелец: Дюрр Системз Гмбх. Дата публикации: 2011-10-10.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US7932097B2. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-04-26.

Method of manufacturing a magnetic recording head

Номер патента: US20080213501A1. Автор: Kazuaki Inukai,Junichi Kon. Владелец: Fujitsu Ltd. Дата публикации: 2008-09-04.

Method of isolating, labeling and profiling small rna and whole-genome transcripts

Номер патента: WO2006084201A3. Автор: X Xia. Владелец: Genosensor Corp. Дата публикации: 2009-04-16.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US20100171034A1. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2010-07-08.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US20110031390A1. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-02-10.

Method of manufacturing an analytical sample and method of analyzing an analytical sample

Номер патента: US7846741B2. Автор: Satoshi Toriumi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2010-12-07.

Thin film manufacturing method and method of manufacturing substrate

Номер патента: US20220032623A1. Автор: Keiji Watanabe. Владелец: Canon Inc. Дата публикации: 2022-02-03.

Method of designing an exposure mask, exposure method, pattern forming method and device manufacturing method

Номер патента: EP1642171B1. Автор: Takako Yamaguchi,Yasuhisa Inao. Владелец: Canon Inc. Дата публикации: 2011-06-15.

Air bearing surface of thin-film magnetic head slider and method of processing the same

Номер патента: US7554770B2. Автор: Shinichi Tanaka,Atsushi Tondokoro. Владелец: TDK Corp. Дата публикации: 2009-06-30.

Method of the adjustable matching map system in lithography

Номер патента: US20070099098A1. Автор: Fei-Gwo Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2007-05-03.

Method of manufacturing thermochromic substrate

Номер патента: US9657385B2. Автор: Yong Won Choi,Yung-Jin Jung,Hyun Bin Kim,Seulgi Bae. Владелец: Corning Precision Materials Co Ltd. Дата публикации: 2017-05-23.

Liquid-crystal display device with improved yield of production and method of fabricating the same

Номер патента: US20020085137A1. Автор: Tetsuya Fujikawa. Владелец: Individual. Дата публикации: 2002-07-04.

Thin film magnetic head and method of manufacturing the same

Номер патента: US20080266720A1. Автор: Hisayuki Yazawa,Mitsuo Kawasaki. Владелец: TDK Corp. Дата публикации: 2008-10-30.

Method of sputtering a carbon protective film on a magnetic disk with high sp3 carbon.

Номер патента: MY124998A. Автор: PENG Gang,YAMASHITA Tsutomu,Chen Tu,Hong Liu Wen. Владелец: Komag Incorporated. Дата публикации: 2006-07-31.

Method and device for imaging a fragmentation pattern formed in a ply of toughened glass

Номер патента: EP2205963A1. Автор: Simon Peter Aldred. Владелец: Pilkington Group Ltd. Дата публикации: 2010-07-14.

Method for measuring thin films

Номер патента: WO2004092714A1. Автор: Alexei Maznev. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2004-10-28.

Method of accurate thickness measurement of boron carbide coating on copper foil

Номер патента: US20160161416A1. Автор: Jeffrey L. Lacy,Murari Regmi. Владелец: Proportional Technologies Inc. Дата публикации: 2016-06-09.

A method of isolating exosomes

Номер патента: CA3160415A1. Автор: Stephanie DUGUEZ,William DUDDY,Owen Connolly. Владелец: Individual. Дата публикации: 2021-06-10.

A method of isolating exosomes

Номер патента: EP4069825A1. Автор: Stephanie DUGUEZ,William DUDDY,Owen Connolly. Владелец: Ulster University. Дата публикации: 2022-10-12.

Method and device for imaging a fragmentation pattern formed in a ply of toughened glass

Номер патента: WO2009056882A1. Автор: Simon Peter Aldred. Владелец: Pilkington Group Limited. Дата публикации: 2009-05-07.

Method of producing refined oils and/or fats, and method for producing tocopherols

Номер патента: US20220177441A1. Автор: Hiroyuki KOZUI. Владелец: Nisshin Oillio Group Ltd. Дата публикации: 2022-06-09.

Method and apparatus for measuring the composition and other properties of thin films

Номер патента: EP1257806A4. Автор: Peter A Rosenthal,Sylvie Charpenay,Victor A Yakovlev. Владелец: MKS Instruments Inc. Дата публикации: 2009-07-15.

Systems and methods for high voltage rating thin film sensors

Номер патента: US20210262882A1. Автор: Jeff Jorgensen. Владелец: Custom Control Sensors LLC. Дата публикации: 2021-08-26.

Infrared heat assisted drying of thin films

Номер патента: US20240227376A9. Автор: James E. Mcguire, Jr.,Shane Michael Scott,Andrew C. Strange, Jr.. Владелец: Ppg Advanced Surface Technologies LLC. Дата публикации: 2024-07-11.

Method of producing thin film magnetic head

Номер патента: US20090223034A1. Автор: Hironori Saito. Владелец: Fujitsu Ltd. Дата публикации: 2009-09-10.

Systems and methods for high voltage rating thin film sensors

Номер патента: WO2021045744A1. Автор: Jeff Jorgensen. Владелец: Custom Control Sensors, LLC. Дата публикации: 2021-03-11.

Systems and methods for high voltage rating thin film sensors

Номер патента: US20240019326A1. Автор: Jeff Jorgensen. Владелец: Custom Control Sensors LLC. Дата публикации: 2024-01-18.

Systems and methods of monitoring thin film deposition

Номер патента: US20030041654A1. Автор: John Larson,Mark Hueschen,Richard Karlquist,Herbert Ko,Kent Carey. Владелец: Individual. Дата публикации: 2003-03-06.

Liquid ejecting device and method of controlling liquid ejecting device

Номер патента: US20090115807A1. Автор: Kazuhito Hori,Hirokazu Nunokawa,Ryoichi Tanaka. Владелец: Seiko Epson Corp. Дата публикации: 2009-05-07.

Thin film magnetic head and method of manufacturing the same and magnetic memory apparatus

Номер патента: US5917681A. Автор: Takamitsu Orimoto,Keita Ohtsuka,Mithumasa Okada. Владелец: Fujitsu Ltd. Дата публикации: 1999-06-29.

Micro rna arrays and methods of using the same

Номер патента: WO2008024343A3. Автор: Dirk Dittmer,Wolfgang Vahrson. Владелец: Wolfgang Vahrson. Дата публикации: 2008-11-06.

Thin film magnetic head and method of producing the same

Номер патента: US20080002307A1. Автор: Masanori Akie. Владелец: Fujitsu Ltd. Дата публикации: 2008-01-03.

Thin film magnetic head and method of manufacturing the same

Номер патента: US20040068861A1. Автор: Makoto Yoshida,Taro Oike,Atsushi Iijima. Владелец: TDK Corp. Дата публикации: 2004-04-15.

Mammalian endonucleases and methods of use

Номер патента: US20060003434A1. Автор: Paul Russell,Xiao-Bo Chen,Clare McGowan,Michael Boddy,Veronique Blais,Pierre-Henri Gaillard. Владелец: Scripps Research Institute. Дата публикации: 2006-01-05.

Mesoporous carbon films and methods of preparation thereof

Номер патента: WO2005113431A3. Автор: Yunfeng Lu,Jiebin Pang. Владелец: Jiebin Pang. Дата публикации: 2006-09-14.

Infrared heat assisted drying of thin films

Номер патента: AU2022218770A1. Автор: Andrew C. Strange,Shane Michael Scott,JR. James E. MCGUIRE. Владелец: Ppg Advanced Surface Technologies LLC. Дата публикации: 2023-08-24.

Thin film magnetic head and method of manufacturing the same

Номер патента: US20010040762A1. Автор: Makoto Yoshida,Taro Oike,Atsushi Iijima. Владелец: TDK Corp. Дата публикации: 2001-11-15.

Method of isolating human cDNA

Номер патента: US20030028926A1. Автор: David McGee,Guy Della-Cioppa,Monto Kumagai,Robert Erwin. Владелец: Individual. Дата публикации: 2003-02-06.

Method of forming coating layer of which composition can be controlled

Номер патента: US20220349055A1. Автор: Soon Young Kwon. Владелец: Individual. Дата публикации: 2022-11-03.

Methods of isolating radioactive mercury and uses thereof

Номер патента: US20210287822A1. Автор: Carlos Valdez,John Despotopulos,Kelly Nora Kmak. Владелец: Lawrence Livermore National Laboratory LLC. Дата публикации: 2021-09-16.

Thin film paints and methods of application on corners

Номер патента: US20240278484A1. Автор: Shane Michael Scott. Владелец: Entrotech Inc. Дата публикации: 2024-08-22.

Method of operating filament assisted chemical vapor deposition system

Номер патента: WO2012112334A2. Автор: Jacques Faguet,Eric M. Lee. Владелец: Tokyo Electron America, Inc.. Дата публикации: 2012-08-23.

Thin film of aluminate including rare earth elements, method of producing same, and light-accumulation optical element

Номер патента: US20020086190A1. Автор: Tomoko Miyaura. Владелец: Minolta Co Ltd. Дата публикации: 2002-07-04.

Manufacturing method of rod with optical thin film and rod block for use in the method

Номер патента: US7154685B2. Автор: Hodaka Norimatsu. Владелец: Nippon Sheet Glass Co Ltd. Дата публикации: 2006-12-26.

Secondary-metabolite biosynthesis genes from actinomycetes, method of isolating them and their use

Номер патента: US5710032A. Автор: Wolfgang Piepersberg,Barbara Brau,Petra Sichel. Владелец: Hoechst AG. Дата публикации: 1998-01-20.

Methods of biomanufacturing and purifying retinoids

Номер патента: WO2024050080A2. Автор: Benjamin Yap,Binita BHATTACHARJEE,Thiane CARNEIRO,Annie PREECE-SCARINGE. Владелец: Amyris Inc.. Дата публикации: 2024-03-07.

A method of isolating mesenchymal stem cells from umbilical cord amniotic membrane using a cell culture medium

Номер патента: EP3523421A1. Автор: Toan Thang Phan. Владелец: CellResearch Corp Pte Ltd. Дата публикации: 2019-08-14.

Methods of biomanufacturing and purifying retinoids

Номер патента: WO2024050080A3. Автор: Benjamin Yap,Binita BHATTACHARJEE,Thiane CARNEIRO,Annie PREECE-SCARINGE. Владелец: Amyris Inc.. Дата публикации: 2024-04-18.

Method of constructing a thin film mirror

Номер патента: US20020003674A1. Автор: Roy Creek. Владелец: Seos Ltd. Дата публикации: 2002-01-10.

Method of manufacturing cell-nanoscale thin film composite

Номер патента: US20190127693A1. Автор: Toshiaki Abe,Nobuhiro Nagai,Jin Suzuki,Hirokazu Kaji. Владелец: Tohoku University NUC. Дата публикации: 2019-05-02.

Imprint apparatus, imprinting mold, and method of manufacturing article

Номер патента: US10042250B2. Автор: Yusuke Tanaka,Hirotoshi Torii. Владелец: Canon Inc. Дата публикации: 2018-08-07.

Hair components and methods of isolating them

Номер патента: WO2022115037A1. Автор: Nan Zhang,Kee Woei NG. Владелец: NANYANG TECHNOLOGICAL UNIVERSITY. Дата публикации: 2022-06-02.

Method of measuring surface form of semiconductor thin film

Номер патента: US20020191187A1. Автор: Makoto Nakazawa. Владелец: Individual. Дата публикации: 2002-12-19.

Thin film magnetic head having recording coil and method of forming recording coil

Номер патента: US20070121245A1. Автор: Kiyoshi Kobayashi,Sumihito Morita. Владелец: Alps Electric Co Ltd. Дата публикации: 2007-05-31.

In-situ thickness and refractive index monitoring and control system for thin film deposition

Номер патента: WO2002029358A1. Автор: Jian Zhang,Jing Pan. Владелец: Opnetics Corporation. Дата публикации: 2002-04-11.

Hepatoblasts and method of isolating same

Номер патента: US20030086910A1. Автор: Lola Reid,Shlomo Brill,Samuel Sigal,Patricia Holst. Владелец: ALBERT EINSTEIN COLLEGE OF MEDICINE. Дата публикации: 2003-05-08.

Hepatoblasts and method of isolating same

Номер патента: US20070117205A1. Автор: Lola Reid,Shlomo Brill,Samuel Sigal,Patricia Holst. Владелец: ALBERT EINSTEIN COLLEGE OF MEDICINE. Дата публикации: 2007-05-24.

Thin film endovascular electrode array and method of fabrication

Номер патента: US20240215889A1. Автор: Ellis Meng,Brianna Leilani THIELEN. Владелец: University of Southern California USC. Дата публикации: 2024-07-04.

Method of isolating and culturing mesenchymal stem cell derived from cryopreserved umbilical cord blood

Номер патента: EP1687415A4. Автор: Hoon Han,Sung-Whan Kim. Владелец: Individual. Дата публикации: 2007-10-17.

Sdc-2 exosome compositions and methods of isolation and use

Номер патента: US20240245606A1. Автор: Stephen J. ELLIMAN,Jack KAVANAUGH,Larry Couture. Владелец: Orbsen Therapeutics Ltd. Дата публикации: 2024-07-25.

Method of producing powder

Номер патента: US20010008049A1. Автор: Hiroshi Noro,Shigeaki Fujinami,Eiichiro Misaki. Владелец: Individual. Дата публикации: 2001-07-19.

Method of forming nanopattern and substrate having pattern formed using the method

Номер патента: EP1999513A1. Автор: Seung-Tae Oh,Sang-Choll Han,Deok-Joo Kim,Matthias Henyk. Владелец: LG Chem Ltd. Дата публикации: 2008-12-10.

Methods of identification and isolation of polynucleotides containing nucleic acid differences

Номер патента: EP1379683A2. Автор: Gilbert Thill. Владелец: Genset Sa. Дата публикации: 2004-01-14.

Methods of isolating cardiac stem cells, banking and uses thereof

Номер патента: WO2008081457A3. Автор: Jonathan Leor,Israel Barbash,Ayelet Itzhaki-Alfia. Владелец: Ayelet Itzhaki-Alfia. Дата публикации: 2008-12-31.

Methods of isolating naïve regulatory t cells

Номер патента: WO2018185166A1. Автор: Fabian BRUNK,Jian-guo CHAI. Владелец: Adaptimmune Ltd. Дата публикации: 2018-10-11.

Systems and methods for integration of thin film optical materials in silicon photonics

Номер патента: US20240255696A1. Автор: Ping Piu Kuo. Владелец: Raytheon Co. Дата публикации: 2024-08-01.

Thin-film magnetic head capable of narrowing track width and method of manufacturing the same

Номер патента: US6650502B2. Автор: Hisayuki Yazawa,Naruaki Oki. Владелец: Alps Electric Co Ltd. Дата публикации: 2003-11-18.

Thin film magnetic head, method of manufacturing the same and method of forming magnetic layer pattern

Номер патента: US20020034045A1. Автор: Yoshitaka Sasaki. Владелец: TDK Corp. Дата публикации: 2002-03-21.

Thin-film devices and fabrication

Номер патента: EP4344867A3. Автор: Todd Martin,Robert T. Rozbicki,Tom Toan-Cong Tran,Brian D. Griedel. Владелец: View Inc. Дата публикации: 2024-06-12.

Method of isolating vinblastine

Номер патента: WO1988003135A3. Автор: Sarath P Gunasekera. Владелец: Harbor Branch Oceanographic. Дата публикации: 1988-07-14.

Thin-film magnetic head capable of narrowing track width and method of manufacturing the same

Номер патента: US20010010611A1. Автор: Hisayuki Yazawa,Naruaki Oki. Владелец: Alps Electric Co Ltd. Дата публикации: 2001-08-02.

Methods for making polyurethanes as thin films

Номер патента: US20010048978A1. Автор: John D. Affinito. Владелец: Individual. Дата публикации: 2001-12-06.

Method of identifying calcium-binding sites in gamma-crystallin useful for management of cataract

Номер патента: US20040053338A1. Автор: Yogendra Sharma,Bheemreddy Devi. Владелец: Individual. Дата публикации: 2004-03-18.

Thin film magnetic head and method of manufacturing the same

Номер патента: US20040012884A1. Автор: Kiyoshi Sato,Toshinori Watanabe,Toshihiro Kuriyama. Владелец: Alps Electric Co Ltd. Дата публикации: 2004-01-22.

Method of manufacturing a thin-film magnetic head slider

Номер патента: US20060217042A1. Автор: Hiroki Hashimoto. Владелец: Fujitsu Ltd. Дата публикации: 2006-09-28.

Method of forming a MOCVD-TiN thin film

Номер патента: US20020022089A1. Автор: Hyung-Seok Kim,Byoung-Youp Kim. Владелец: Jusung Engineering Co Ltd. Дата публикации: 2002-02-21.

Compound, thin-film forming raw material, thin-film, and method of producing thin-film

Номер патента: US20240318304A1. Автор: Masako HATASE,Chiaki MITSUI. Владелец: Adeka Corp. Дата публикации: 2024-09-26.

Composition and method of making high-reflection silver mirrors or thin-film optical filters

Номер патента: US20040114247A1. Автор: James Lane,Philip Buchsbaum. Владелец: Ocean Optics Inc. Дата публикации: 2004-06-17.

Method of forming a pinhole-free alloy layer on the surface of a base made of aluminum or an aluminum alloy

Номер патента: US3619232A. Автор: Tadashi Nakano,Keiichi Henmi. Владелец: Individual. Дата публикации: 1971-11-09.

Devices and methods for acoustofluidic operations using thin film ultrasound transducers

Номер патента: US20240207850A1. Автор: Thomas Laurell,André Gugele STECKEL,Henrik BRUUS. Владелец: ACOUSORT AB. Дата публикации: 2024-06-27.

Thin film lithium niobate and method of producing the same

Номер патента: US20020182322A1. Автор: Aref Chowdhury,Leon McCaughan,Thomas Kuech,Dovas Saulys,Vladimir Joshkin. Владелец: Individual. Дата публикации: 2002-12-05.

Method of isolation of regulated virulence determinants from bacterial pathogens

Номер патента: WO2002008418A3. Автор: Jeffrey D Cirillo. Владелец: Univ Nebraska. Дата публикации: 2002-06-13.

Nondestructive apparatus and method for detecting molecular orientation in thin films

Номер патента: US6552791B2. Автор: Michele Muccini,Carlo Taliani. Владелец: Consiglio Nazionale delle Richerche CNR. Дата публикации: 2003-04-22.

Thin film metrology

Номер патента: US20210364275A1. Автор: Kei-Wei Chen,Chih Hung Chen,Te-Ming Kung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-11-25.

Optical measurements of stress in thin film materials

Номер патента: WO1996023205A1. Автор: Keith A. Nelson,John A. Rogers. Владелец: Massachusetts Institute of Technology. Дата публикации: 1996-08-01.

Method of isolation of regulated virulence determinants from bacterial pathogens

Номер патента: WO2002008418A2. Автор: Jeffrey D. Cirillo. Владелец: THE BOARD OF REGENTS OF THE UNIVERSITY OF NEBRASKA. Дата публикации: 2002-01-31.

Stamper, method of forming a concave/convex pattern, and method of manufacturing an information recording medium

Номер патента: US7829267B2. Автор: Minoru Fujita,Mikiharu Hibi. Владелец: TDK Corp. Дата публикации: 2010-11-09.

Color filter and method of producing same

Номер патента: US20070020439A1. Автор: Manabu Yamamoto. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2007-01-25.

Method of isolation of regulated determinants from bacterial pathogens

Номер патента: US20030165889A1. Автор: Jeffrey Cirillo. Владелец: University of Nebraska. Дата публикации: 2003-09-04.

Method of manufacturing thin film magnetic head

Номер патента: US20090265917A1. Автор: Masanori Tachibana,Koichi Sugimoto,Yoshiyuki Ikeda,Kazuaki Satoh. Владелец: Fujitsu Ltd. Дата публикации: 2009-10-29.

Thin-Film Neural Interfaces With Stent-Assisted Deployment

Номер патента: US20230172732A1. Автор: Peng Cong,Bo Lu,Annapurna Karicherla,Ken RYS. Владелец: Verily Life Sciences LLC. Дата публикации: 2023-06-08.

Method of isolation of regulated virulence determinants from bacterial pathogens

Номер патента: WO2001000877A2. Автор: Jeffrey D. Cirillo. Владелец: THE BOARD OF REGENTS OF THE UNIVERSITY OF NEBRASKA. Дата публикации: 2001-01-04.

A method of detecting and identifying dengue virus serotype

Номер патента: MY184631A. Автор: Bin Abu Bakar Sazaly,Boon Teong Teoh. Владелец: Univ Malaya. Дата публикации: 2021-04-12.

Myonectin (ctrp15), compositions comprising same, and methods of use

Номер патента: US20140371142A1. Автор: Guang William Wong,Marcus Michael SELDIN. Владелец: JOHNS HOPKINS UNIVERSITY. Дата публикации: 2014-12-18.

Method and apparatus for localized control of heat flux in thin cast strip

Номер патента: WO2009067735A1. Автор: Walter Blejde,Mark Schlichting,Eugene B Pretorius. Владелец: BLUESCOPE STEEL LIMITED. Дата публикации: 2009-06-04.

Method and apparatus for localized control of heat flux in thin cast strip

Номер патента: EP2237907A1. Автор: Walter Blejde,Mark Schlichting,Eugene B Pretorius. Владелец: Nucor Corp. Дата публикации: 2010-10-13.

Method of explosion protection

Номер патента: RU2649682C1. Автор: Олег Савельевич Кочетов. Владелец: Олег Савельевич Кочетов. Дата публикации: 2018-04-04.

Method of determining a pattern position in a gold foil printer

Номер патента: WO2007026978A1. Автор: Byung Jun Song. Владелец: Byung Jun Song. Дата публикации: 2007-03-08.

Catheters and related devices and methods of manufacture

Номер патента: US20240058571A1. Автор: Chad J. Kugler,Ross A. Olson. Владелец: Seigla Medical Inc. Дата публикации: 2024-02-22.

Method of reduction of liquid film thickness along reaction tube in thin-film reactor

Номер патента: PL235403A2. Автор: Wojciech Spisak. Владелец: Wyzsza Szkola Inzynierska. Дата публикации: 1983-01-17.

SEALED THIN-FILM DEVICE, METHOD OF AND SYSTEM FOR REPAIRING A SEALING LAYER APPLIED TO A THIN-FILM DEVICE

Номер патента: US20120098027A1. Автор: . Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2012-04-26.

Method of forming circuit pattern, circuit pattern formed by using same, and laminate

Номер патента: JP2006269557A. Автор: Kokichi Waki,幸吉 脇. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2006-10-05.

Anti-welding processing method of PCB via hole with open window formed in single face

Номер патента: CN103607858A. Автор: 宋波,贺波,程涌. Владелец: AOSHIKANG TECHNOLOGY (YIYANG) Co Ltd. Дата публикации: 2014-02-26.

Method of forming ground cereal products

Номер патента: RU2263544C1. Автор: В.Л. Злочевский,А.В. Злочевский. Владелец: Злочевский Алексей Валерьевич. Дата публикации: 2005-11-10.

Method of cutting friable non-metallic materials (versions)

Номер патента: RU2206527C2. Автор: В.С. Кондратенко. Владелец: Кондратенко Владимир Степанович. Дата публикации: 2003-06-20.

Method of crotonic aldehyde isolation

Номер патента: RU2063958C1. Автор: . Владелец: Хворов Александр Петрович. Дата публикации: 1996-07-20.

Method of forming small stone articles and the articles formed by the method

Номер патента: IE20050499U1. Автор: Walsh John,Walsh Stephen. Владелец: Walsh Stephen. Дата публикации: 2006-04-19.

Method of forming small stone articles and the articles formed by the method

Номер патента: IES84182Y1. Автор: Walsh John,Walsh Stephen. Владелец: Walsh Stephen. Дата публикации: 2006-04-19.

Method of diabetic waffles production (versions)

Номер патента: RU2420984C1. Автор: Олег Иванович Квасенков. Владелец: Олег Иванович Квасенков. Дата публикации: 2011-06-20.