• Главная
  • Optical etendue matching methods for extreme ultraviolet metrology

Optical etendue matching methods for extreme ultraviolet metrology

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Extreme ultraviolet light generating apparatus and control method for centroid of extreme ultraviolet light

Номер патента: US10251254B2. Автор: Yuichi Nishimura,Takayuki Yabu. Владелец: GIGAPHOTON INC. Дата публикации: 2019-04-02.

MATERIALS, COMPONENTS, AND METHODS FOR USE WITH EXTREME ULTRAVIOLET RADIATION IN LITHOGRAPHY AND OTHER APPLICATIONS

Номер патента: US20160085003A1. Автор: Jaiswal Supriya. Владелец: . Дата публикации: 2016-03-24.

Counterflow gas nozzle for contamination mitigation in extreme ultraviolet inspection systems

Номер патента: EP4285099A1. Автор: Michael Lang,Rudy Garcia,Ravichandra Jagannath. Владелец: KLA Corp. Дата публикации: 2023-12-06.

Dispositioning defects detected on extreme ultraviolet photomasks

Номер патента: WO2020061241A1. Автор: Masaki Satake,Weston Sousa,Vikram Tolani. Владелец: KLA Corporation. Дата публикации: 2020-03-26.

System and method for return beam metrology with optical switch

Номер патента: WO2015019187A2. Автор: Steven Chang,Matthew R. Graham,Robert A. Bergstedt. Владелец: ASML Netherlands B.V.. Дата публикации: 2015-02-12.

Structure for discharging extreme ultraviolet mask

Номер патента: US20120292509A1. Автор: You-Jin Wang,Chiyan Kuan,Chung-Shih Pan. Владелец: Hermes Microvision Inc. Дата публикации: 2012-11-22.

Structure for discharging extreme ultraviolet mask

Номер патента: US20140027634A1. Автор: You-Jin Wang,Chiyan Kuan,Chung-Shih Pan. Владелец: Hermes Microvision Inc. Дата публикации: 2014-01-30.

Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications

Номер патента: WO2013109986A1. Автор: Supriya JAISWAL. Владелец: Jaiswal Supriya. Дата публикации: 2013-07-25.

Droplet splash control for extreme ultraviolet photolithography

Номер патента: US20240231241A1. Автор: Chi-Hung Liao,Po-Ming SHIH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-11.

Droplet splash control for extreme ultra violet photolithography

Номер патента: US11940738B2. Автор: Chi-Hung Liao,Po-Ming SHIH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-26.

Extreme ultraviolet light source device

Номер патента: US09867267B2. Автор: Jin-Hong Park,In-sung Kim,Seung-Koo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-01-09.

Extreme ultraviolet light sensor unit and extreme ultraviolet light generation apparatus

Номер патента: US11125613B2. Автор: Kotaro Miyashita. Владелец: GIGAPHOTON INC. Дата публикации: 2021-09-21.

Extreme ultraviolet light sensor unit and extreme ultraviolet light generation apparatus

Номер патента: US20200064184A1. Автор: Kotaro Miyashita. Владелец: GIGAPHOTON INC. Дата публикации: 2020-02-27.

Target material supply apparatus for an extreme ultraviolet light source

Номер патента: US09632418B2. Автор: Norbert Bowering,Georgiy O. Vaschenko,Peter Baumgart,Silvia De Dea. Владелец: ASML Netherlands BV. Дата публикации: 2017-04-25.

Extreme ultraviolet radiation in genomic sequencing and other applications

Номер патента: EP3411692A1. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2018-12-12.

Extreme Ultraviolet Radiation in Genomic Sequencing and Other Applications

Номер патента: US20200140941A1. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2020-05-07.

Extreme ultraviolet radiation in genomic sequencing and other applications

Номер патента: US11718871B2. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2023-08-08.

Method for lithography process

Номер патента: US12066756B2. Автор: Chi-Hung Liao,Yueh-Lin Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Hybrid extreme ultraviolet imaging spectrometer

Номер патента: US20170062198A1. Автор: Norman A. Sanford,Ann Chiaramonti Debay,Brian P. Gorman,David R. Diercks. Владелец: US Department of Commerce. Дата публикации: 2017-03-02.

Hybrid extreme ultraviolet imaging spectrometer

Номер патента: US09899197B2. Автор: Norman A. Sanford,Ann Chiaramonti Debay,Brian P. Gorman,David R. Diercks. Владелец: US Department of Commerce. Дата публикации: 2018-02-20.

Extreme ultraviolet light generation apparatus

Номер патента: US8629417B2. Автор: Osamu Wakabayashi,Takanobu Ishihara,Shinji Nagai,Tamotsu Abe. Владелец: GIGAPHOTON INC. Дата публикации: 2014-01-14.

Extreme ultraviolet radiation in genomic sequencing and other applications

Номер патента: CA3012825C. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2024-02-13.

Method for determining suitability of a resist in semiconductor wafer fabrication

Номер патента: US20090011524A1. Автор: Thomas Wallow,Bruno M. LaFontaine. Владелец: Advanced Micro Devices Inc. Дата публикации: 2009-01-08.

Extreme ultraviolet light source device

Номер патента: US20240121877A1. Автор: Injae Lee,SungHyup KIM,Daegeun YOON,Yebin Nam. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-11.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2032453A. Автор: Miyashita Koutaro. Владелец: GIGAPHOTON INC. Дата публикации: 2023-03-14.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2032453B1. Автор: Miyashita Koutaro. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-10.

Extreme ultraviolet light generation apparatus

Номер патента: US20200077501A1. Автор: Yoshiyuki Honda,Shinji Nagai,Hiroaki Tomuro. Владелец: GIGAPHOTON INC. Дата публикации: 2020-03-05.

Extreme ultraviolet light generation apparatus

Номер патента: US10820400B2. Автор: Yoshiyuki Honda,Shinji Nagai,Hiroaki Tomuro. Владелец: GIGAPHOTON INC. Дата публикации: 2020-10-27.

Systems and methods for stabilization of droplet-plasma interaction via laser energy modulation

Номер патента: US09832854B2. Автор: Robert Jay Rafac,Daniel Jason Riggs. Владелец: ASML Netherlands BV. Дата публикации: 2017-11-28.

Systems and methods for stabilization of droplet-plasma interaction via laser energy modulation

Номер патента: US20170048959A1. Автор: Robert Jay Rafac,Daniel Jason Riggs. Владелец: ASML Netherlands BV. Дата публикации: 2017-02-16.

Optical module for extreme ultraviolet light source

Номер патента: EP4405732A1. Автор: Jaden Robert BANKHEAD,Paul Alexander MCKENZIE,Erik Fernando HUERTA,Moonseob Jin. Владелец: ASML Netherlands BV. Дата публикации: 2024-07-31.

Four-mirror extreme ultraviolet (EUV) lithography projection system

Номер патента: US6142641A. Автор: David R Shafer,Simon J Cohen,Hwan J Jeong. Владелец: Ultratech Inc. Дата публикации: 2000-11-07.

Extreme ultraviolet light sensor unit and extreme ultraviolet light generation device

Номер патента: US20190021159A1. Автор: Takuya Ishii,Hiroshi Someya,Hisashi Nara. Владелец: GIGAPHOTON INC. Дата публикации: 2019-01-17.

Optical system and method for a radiation source

Номер патента: WO2023111364A8. Автор: Alexander Matthijs Struycken,Andrey Sergeevich Tychkov. Владелец: ASML Netherlands B.V.. Дата публикации: 2023-09-14.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2028934A. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2022-05-09.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US11924954B2. Автор: Takayuki Yabu,Yuta TAKASHIMA. Владелец: GIGAPHOTON INC. Дата публикации: 2024-03-05.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2028934B1. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2023-06-12.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034729A. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2023-06-15.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034729B1. Автор: YABU Takayuki,TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2024-01-25.

A beam line for a source of extreme ultraviolet (euv) radiation

Номер патента: WO2011116897A1. Автор: Franz Dieterich,Reza Abhari,Andrea Giovannini. Владелец: ETH Zurich. Дата публикации: 2011-09-29.

Optical system and method for a radiation source

Номер патента: WO2023111364A1. Автор: Alexander Matthijs Struycken,Andrey Sergeevich Tychkov. Владелец: ASML Netherlands B.V.. Дата публикации: 2023-06-22.

Beam transport system for extreme ultraviolet light source

Номер патента: WO2011075346A1. Автор: William N. Partlo,Nam-Hyong Kim,Robert N. Bergstedt,Lgor V. Fomenkov. Владелец: CYMER, INC.. Дата публикации: 2011-06-23.

Plasma-based debris mitigation for extreme ultraviolet (EUV) light source

Номер патента: US7652272B2. Автор: David Ruzic,Robert Bristol,Bryan J. Rice. Владелец: Intel Corp. Дата публикации: 2010-01-26.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20220035249A1. Автор: Yoshiyuki Honda,Hirokazu HOSODA,Takanari KOBAYASHI. Владелец: GIGAPHOTON INC. Дата публикации: 2022-02-03.

Extreme ultraviolet light generating apparatus

Номер патента: US20180240562A1. Автор: Tamotsu Abe,Takayuki Yabu,Tooru Abe,Kenichi Miyao. Владелец: GIGAPHOTON INC. Дата публикации: 2018-08-23.

Extreme ultraviolet light generation system

Номер патента: US09980360B2. Автор: Osamu Wakabayashi,Tatsuya Yanagida. Владелец: GIGAPHOTON INC. Дата публикации: 2018-05-22.

Collector mirror assembly and extreme ultraviolet light source device using said collector mirror assembly

Номер патента: US9029815B2. Автор: Hiroto Sato. Владелец: Ushio Denki KK. Дата публикации: 2015-05-12.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2029356A. Автор: UEDA Atsushi,KOGE Koichiro,OSANAI Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2022-06-27.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2029356B1. Автор: UEDA Atsushi,KOGE Koichiro,OSANAI Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-11.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20220146943A1. Автор: Atsushi Ueda,Koichiro KOGE,Takayuki OSANAI. Владелец: GIGAPHOTON INC. Дата публикации: 2022-05-12.

Extreme ultraviolet light source apparatus and plasma position adjusting method

Номер патента: US20210327701A1. Автор: Noritaka Ashizawa. Владелец: Ushio Denki KK. Дата публикации: 2021-10-21.

Extreme ultraviolet light source apparatus and plasma position adjusting method

Номер патента: US11631579B2. Автор: Noritaka Ashizawa. Владелец: Ushio Denki KK. Дата публикации: 2023-04-18.

Impedance matching method and apparatus for electret microphone, and communication device

Номер патента: US20170289680A1. Автор: Wei Wang,Feng Zhang. Владелец: ZTE Corp. Дата публикации: 2017-10-05.

Current pattern matching method for non-intrusive power load monitoring and disaggregation

Номер патента: US09658261B2. Автор: BO LIU,Bing Wang,Yixin Yu. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2017-05-23.

Location reporting for extremely high frequency (ehf) devices

Номер патента: WO2016115087A1. Автор: Amichai Sanderovich,Xiaoxin Zhang,Carlos Horacio ALDANA. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-07-21.

Extreme ultraviolet light generation device

Номер патента: US10990016B2. Автор: Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2021-04-27.

Location reporting for extremely high frequency (ehf) devices

Номер патента: EP3245824A1. Автор: Amichai Sanderovich,Xiaoxin Zhang,Carlos Horacio ALDANA. Владелец: Qualcomm Inc. Дата публикации: 2017-11-22.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2028521A. Автор: Honda Yoshiyuki,HOSODA Hirokazu,KOBAYASHI Takanari. Владелец: GIGAPHOTON INC. Дата публикации: 2022-03-21.

Location reporting for extremely high frequency (ehf) devices

Номер патента: EP4002929A1. Автор: Amichai Sanderovich,Xiaoxin Zhang,Carlos Horacio ALDANA. Владелец: Qualcomm Inc. Дата публикации: 2022-05-25.

Measurement tool and method for lithography masks

Номер патента: US12032298B2. Автор: Yoshihiro Tezuka,Adam Seeger,Ping Qu. Владелец: Intel Corp. Дата публикации: 2024-07-09.

Measurement tool and method for lithography masks

Номер патента: EP4405753A1. Автор: Yoshihiro Tezuka,Adam Seeger,Ping Qu. Владелец: Intel Corp. Дата публикации: 2024-07-31.

MATERIALS, COMPONENTS, AND METHODS FOR USE WITH EXTREME ULTRAVIOLET RADIATION IN LITHOGRAPHY AND OTHER APPLICATIONS

Номер патента: US20190049634A1. Автор: Jaiswal Supriya. Владелец: . Дата публикации: 2019-02-14.

Materials, component, and methods for use with extreme ultraviolet radiation in lithography and other applications

Номер патента: EP3665513A1. Автор: Supriya JAISWAL. Владелец: Jaiswal, Supriya. Дата публикации: 2020-06-17.

Photomask for extreme ultraviolet lithography and method for fabricating the same

Номер патента: US8158305B2. Автор: Sung Hyun Oh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-17.

Method for reducing contamination in extreme ultraviolet lithography light source

Номер патента: US09665017B2. Автор: Emily Shu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-05-30.

Methods for extreme ultraviolet mask defect mitigation by multi-patterning

Номер патента: US09673111B2. Автор: Tan Soon Yoeng,Gek Soon Chua. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2017-06-06.

Mask absorber layers for extreme ultraviolet lithography

Номер патента: US20240312783A1. Автор: Daniel Staaks. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-09-19.

Wafer chamber having a gas curtain for extreme-uv lithography

Номер патента: EP1127294A1. Автор: Michael P. Kanouff,Avijit K. Ray-Chaudhuri. Владелец: EUV LLC. Дата публикации: 2001-08-29.

Apparatus for extreme UV lithography comprising a wafer chamber and gas curtain

Номер патента: EP1127294B1. Автор: Michael P. Kanouff,Avijit K. Ray-Chaudhuri. Владелец: EUV LLC. Дата публикации: 2006-09-20.

Mount, extreme ultraviolet light generation system, and device manufacturing method

Номер патента: US20200363733A1. Автор: Toshihiro Nishisaka. Владелец: GIGAPHOTON INC. Дата публикации: 2020-11-19.

Target for extreme ultraviolet light source

Номер патента: US9155179B2. Автор: Igor V. Fomenkov,Daniel J. W. Brown,Yezheng Tao,Robert J. Rafac,Daniel J. Golich. Владелец: ASML Netherlands BV. Дата публикации: 2015-10-06.

Target for extreme ultraviolet light source

Номер патента: US8912514B2. Автор: Igor V. Fomenkov,Daniel J. W. Brown,Yezheng Tao,Robert J. Rafac,Daniel J. Golich. Владелец: ASML Netherlands BV. Дата публикации: 2014-12-16.

Methods for Extreme Ultraviolet (EUV) Resist Patterning Development

Номер патента: US20230341781A1. Автор: Alok Ranjan,Peter Ventzek,Yun Han. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-10-26.

Methods for extreme ultraviolet (euv) resist patterning development

Номер патента: WO2022103949A1. Автор: Alok Ranjan,Peter Ventzek,Yun Han. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2022-05-19.

Reticle in an apparatus for extreme ultraviolet exposure

Номер патента: US11835850B2. Автор: Sanghyun Kim,Jinho Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-12-05.

Blankmask and photomask for extreme ultraviolet lithography

Номер патента: US20210132487A1. Автор: Jong-Hwa Lee,Cheol Shin,Chul-Kyu Yang,Gil-Woo KONG,Gyeong-Won SEO. Владелец: S&S Tech Co Ltd. Дата публикации: 2021-05-06.

Gas jet nozzle for extreme ultraviolet light source

Номер патента: EP1150169A3. Автор: Charles W. Clendening, Jr.,Roy D. McGregor. Владелец: TRW Inc. Дата публикации: 2002-04-03.

Method for manufacturing a membrane assembly

Номер патента: CA3225142A1. Автор: Johan Hendrik Klootwijk,Wilhelmus Theodorus Anthonius Johannes Van Den Einden. Владелец: ASML Netherlands BV. Дата публикации: 2017-01-26.

Switchable substrate for extreme ultraviolet or e-beam metallic resist

Номер патента: US20240210822A1. Автор: Ching-Yu Chang,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-27.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2033907A. Автор: UEDA Atsushi,KOGE Koichiro. Владелец: GIGAPHOTON INC. Дата публикации: 2023-08-25.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2032043B1. Автор: Miyashita Koutaro. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-17.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20210235571A1. Автор: Shinji Nagai,Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2021-07-29.

Extreme ultraviolet light source systems

Номер патента: US20220104336A1. Автор: SANGHOON Lee,Injae Lee,SungHyup KIM,Yebin Nam,Myeongjun Gil. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-03-31.

Extreme ultraviolet light source device and protection method for receiving plate member

Номер патента: US20230324815A1. Автор: Nobuaki Miyagawa,Hironobu Yabuta. Владелец: Ushio Denki KK. Дата публикации: 2023-10-12.

System and method for adjusting seed laser pulse width to control euv output energy

Номер патента: WO2014126667A2. Автор: Robert J. Rafac,Matthew R. Graham,James H. Crouch. Владелец: CYMER, LLC. Дата публикации: 2014-08-21.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: NL2036152A. Автор: UEDA Atsushi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-14.

Extreme ultraviolet generation apparatus

Номер патента: US20210092825A1. Автор: In Ho Choi,In Jae Lee,Jeong-Gil Kim,Sung Ho Jang,Min Seok Choi,Hyuck Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-03-25.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: US20240196505A1. Автор: Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-13.

Electron beam-based extreme ultraviolet light source device

Номер патента: EP4401513A1. Автор: Kyu Chang Park,Sung Tae Yoo. Владелец: Industry Academic Cooperation Foundation of Kyung Hee University. Дата публикации: 2024-07-17.

Extreme ultraviolet light source device

Номер патента: EP4152097A1. Автор: Shunichi Morimoto,Hideyuki URAKAMI. Владелец: Ushio Denki KK. Дата публикации: 2023-03-22.

Extreme ultraviolet light generation apparatus

Номер патента: US20160192470A1. Автор: Yutaka Shiraishi,Fumio Iwamoto,Takuya Ishii,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2016-06-30.

Extreme ultraviolet light generation apparatus

Номер патента: WO2012133720A1. Автор: Yukio Watanabe,Masato Moriya,Miwa Igarashi,Hiroaki Nakarai. Владелец: Gigaphoton Inc.. Дата публикации: 2012-10-04.

Extreme ultraviolet light generation apparatus

Номер патента: EP2692212A1. Автор: Yukio Watanabe,Masato Moriya,Miwa Igarashi,Hiroaki Nakarai. Владелец: GIGAPHOTON INC. Дата публикации: 2014-02-05.

Extreme ultraviolet light generating apparatus

Номер патента: US20180224747A1. Автор: Yoshifumi Ueno. Владелец: GIGAPHOTON INC. Дата публикации: 2018-08-09.

Extreme ultraviolet light generation apparatus

Номер патента: US09986629B2. Автор: Toru Suzuki,Osamu Wakabayashi,Tamotsu Abe,Tatsuya Yanagida. Владелец: GIGAPHOTON INC. Дата публикации: 2018-05-29.

Extreme ultraviolet light generation apparatus

Номер патента: US09894744B2. Автор: Yutaka Shiraishi,Fumio Iwamoto,Takuya Ishii,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2018-02-13.

Extreme ultraviolet light generation apparatus

Номер патента: US09894743B2. Автор: Yukio Watanabe,Masato Moriya,Miwa Igarashi,Hiroaki Nakarai. Владелец: GIGAPHOTON INC. Дата публикации: 2018-02-13.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: US20240160107A1. Автор: Yoshiyuki Honda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-16.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: NL2035896A. Автор: Honda Yoshiyuki. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-28.

Quantum-limited extreme ultraviolet coherent diffraction imaging

Номер патента: US12085520B2. Автор: Bin Wang,Margaret Murnane,Henry C. Kapteyn,Chen-Ting Liao. Владелец: University of Colorado. Дата публикации: 2024-09-10.

Extreme ultraviolet light generation system

Номер патента: EP2548080A1. Автор: Yukio Watanabe,Osamu Wakabayashi,Tamotsu Abe,Toshihiro Nishisaka. Владелец: GIGAPHOTON INC. Дата публикации: 2013-01-23.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2029171A. Автор: UENO Yoshifumi,YABU Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2022-06-01.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20220110205A1. Автор: Yoshifumi Ueno,Takayuki Yabu. Владелец: GIGAPHOTON INC. Дата публикации: 2022-04-07.

Electrode insulator materials for use in extreme ultraviolet electric discharge sources

Номер патента: US20040140439A1. Автор: Bryan Rice,Melissa Shell. Владелец: Intel Corp. Дата публикации: 2004-07-22.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20230284365A1. Автор: Yuichi Nishimura,Shogo KITASAKA. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-07.

Extreme ultraviolet light generating device

Номер патента: US20180224746A1. Автор: Takayuki Yabu. Владелец: GIGAPHOTON INC. Дата публикации: 2018-08-09.

Extreme ultraviolet light generating apparatus

Номер патента: US20200133137A1. Автор: Katsuhiko Sugisawa. Владелец: GIGAPHOTON INC. Дата публикации: 2020-04-30.

Extreme ultraviolet light generation apparatus and method of designing the same

Номер патента: US10001706B2. Автор: Shinji Nagai,Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2018-06-19.

Extreme ultraviolet light generation apparatus and maintenance method

Номер патента: US20200142311A1. Автор: Katsuhiko Wakana. Владелец: GIGAPHOTON INC. Дата публикации: 2020-05-07.

Extreme ultraviolet light generation apparatus and method of designing the same

Номер патента: US20170315446A1. Автор: Shinji Nagai,Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2017-11-02.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2034100A. Автор: NISHIMURA Yuichi,Kitasaka Shogo. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-11.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2025678A. Автор: TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2021-02-15.

Extreme ultraviolet mask blank defect reduction methods

Номер патента: WO2021081289A1. Автор: Wen Xiao,Sanjay Bhat,Vibhu Jindal,Azeddine Zerrade,Shuwei Liu,Herng Yau Yoong. Владелец: Applied Materials, Inc.. Дата публикации: 2021-04-29.

Extreme ultraviolet mask blank defect reduction methods

Номер патента: WO2021081291A1. Автор: Wen Xiao,SHIYU Liu,Sanjay Bhat,Vibhu Jindal,Azeddine Zerrade,Binni VARGHESE. Владелец: Applied Materials, Inc.. Дата публикации: 2021-04-29.

Method for Patterning a Substrate Using Extreme Ultraviolet Lithography

Номер патента: US20170090290A1. Автор: Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-03-30.

Extreme ultraviolet light concentrating mirror and electronic device manufacturing method

Номер патента: NL2027952A. Автор: Honda Yoshiyuki,Morita Masayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2022-02-17.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2036213A. Автор: UENO Yoshifumi,MIYASHITA Kotaro,NISHIMURA Yuichi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-11.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2025678B1. Автор: TAKASHIMA Yuta. Владелец: GIGAPHOTON INC. Дата публикации: 2021-02-23.

Extreme ultraviolet light generation apparatus, and electronic device manufacturing method

Номер патента: NL2027481A. Автор: UEDA Atsushi,KOGE Koichiro,OSANAI Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2021-10-20.

Extreme ultraviolet light source device

Номер патента: US20230213865A1. Автор: Hironobu Yabuta,Hajime Kikuiri. Владелец: Ushio Denki KK. Дата публикации: 2023-07-06.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: US20240241448A1. Автор: Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-07-18.

Replacement method for droplet generator

Номер патента: US20210298161A1. Автор: Po-Chung Cheng,Li-Jui Chen,Han-Lung Chang,Hsiao-Lun CHANG,Shih-Yu Tu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-09-23.

Extreme ultraviolet light generation chamber device and electronic device manufacturing method

Номер патента: NL2036440A. Автор: UEDA Atsushi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-07-22.

Extreme ultraviolet control system

Номер патента: US20230030134A1. Автор: Shang-Chieh Chien,Po-Chung Cheng,Li-Jui Chen,Chieh HSIEH,Jen-Yang Chung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-02-02.

System and method to optimize extreme ultraviolet light generation

Номер патента: WO2013180884A2. Автор: Steven Chang,Matthew R. Graham,Wayne J. Dunstan,Daniel J. Riggs,Paul A. FRIHAUF. Владелец: Cymer, Llc.. Дата публикации: 2013-12-05.

Extreme ultraviolet light generation apparatus

Номер патента: US20190364654A1. Автор: Atsushi Ueda,Akihiro Takayama. Владелец: GIGAPHOTON INC. Дата публикации: 2019-11-28.

System and method for cleaning an EUV mask

Номер патента: US12099310B2. Автор: Tzung-Chi Fu,Yen-Hui Li,Cheng-Han Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Extreme ultraviolet control system

Номер патента: US12044975B2. Автор: Shang-Chieh Chien,Po-Chung Cheng,Li-Jui Chen,Chieh HSIEH,Jen-Yang Chung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20230007763A1. Автор: Koutaro MIYASHITA. Владелец: GIGAPHOTON INC. Дата публикации: 2023-01-05.

Extreme ultraviolet light generation device and electronic device manufacturing method

Номер патента: NL2026231A. Автор: SAUMAGNE Georg. Владелец: GIGAPHOTON INC. Дата публикации: 2021-05-17.

Extreme ultraviolet lithography patterning method

Номер патента: US11915931B2. Автор: Yoshihiro Kato,Choong-Man Lee,Toshio Hasegawa,Soo Doo Chae,Angelique RALEY,Qiaowei Lou. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-27.

Extreme ultraviolet light generation apparatus

Номер патента: US20180146536A1. Автор: Toru Suzuki,Osamu Wakabayashi,Tamotsu Abe,Tatsuya Yanagida. Владелец: GIGAPHOTON INC. Дата публикации: 2018-05-24.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20230309211A1. Автор: Yoshiyuki Honda. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-28.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20200236769A1. Автор: Yoshifumi Ueno,Yuta TAKASHIMA. Владелец: GIGAPHOTON INC. Дата публикации: 2020-07-23.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US11924955B2. Автор: Yoshiyuki Honda. Владелец: GIGAPHOTON INC. Дата публикации: 2024-03-05.

Extreme Ultraviolet Mask Blank Defect Reduction

Номер патента: US20200012183A1. Автор: KE CHANG,Vibhu Jindal,Shuwei Liu,Sai Abhinand,Hui Ni Grace Fong. Владелец: Applied Materials Inc. Дата публикации: 2020-01-09.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2033425B1. Автор: Honda Yoshiyuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-11.

Extreme ultraviolet lithography patterning method

Номер патента: US20240153773A1. Автор: Yoshihiro Kato,Choong-Man Lee,Toshio Hasegawa,Soo Doo Chae,Angelique RALEY,Qiaowei Lou. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-05-09.

Extreme ultraviolet light concentrating mirror and electronic device manufacturing method

Номер патента: NL2027952B1. Автор: Honda Yoshiyuki,Morita Masayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-05-25.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034127A. Автор: Honda Yoshiyuki. Владелец: GIGAPHOTON INC. Дата публикации: 2023-10-03.

Extreme ultraviolet light concentrating mirror and electronic device manufacturing method

Номер патента: US20210407700A1. Автор: Yoshiyuki Honda,Masayuki Morita. Владелец: GIGAPHOTON INC. Дата публикации: 2021-12-30.

Extreme ultraviolet light source apparatus

Номер патента: US20230164899A1. Автор: Shunichi Morimoto,Hideyuki URAKAMI. Владелец: Ushio Denki KK. Дата публикации: 2023-05-25.

Extreme ultraviolet light generation apparatus, and electronic device manufacturing method

Номер патента: US20210307150A1. Автор: Atsushi Ueda,Koichiro KOGE,Takayuki OSANAI. Владелец: GIGAPHOTON INC. Дата публикации: 2021-09-30.

Extreme ultraviolet light generation system

Номер патента: US20200045802A1. Автор: Kengo Hayashi. Владелец: GIGAPHOTON INC. Дата публикации: 2020-02-06.

Extreme ultraviolet light generation apparatus, target control method, and electronic device manufacturing method

Номер патента: US20210349400A1. Автор: Toru Abe. Владелец: GIGAPHOTON INC. Дата публикации: 2021-11-11.

Apparatus for decontaminating extreme ultraviolet source

Номер патента: TW201925929A. Автор: 陳鑫封,陳立銳,劉柏村,張漢龍. Владелец: 台灣積體電路製造股份有限公司. Дата публикации: 2019-07-01.

Critical dimension variation correction in extreme ultraviolet lithography

Номер патента: IL239577B. Автор: Sergey Oshemkov,Vladimir Kruglyakov,Frederik Blumrich,Yuval PERETS. Владелец: Yuval PERETS. Дата публикации: 2020-10-29.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20210333718A1. Автор: Yuichi Nishimura,Tsukasa Hori,Takayuki Yabu. Владелец: GIGAPHOTON INC. Дата публикации: 2021-10-28.

Apparatus for generating extreme ultraviolet radiation

Номер патента: TW202021427A. Автор: 陳冠宏,陳立銳,劉柏村,許峻嘉,謝劼,簡上傑,鄭博中. Владелец: 台灣積體電路製造股份有限公司. Дата публикации: 2020-06-01.

Methods and apparatus for ruthenium oxide reduction on extreme ultraviolet photomasks

Номер патента: EP4298479A1. Автор: Banqiu Wu,Khalid Makhamreh,Eliyahu Shlomo DAGAN. Владелец: Applied Materials Inc. Дата публикации: 2024-01-03.

Extreme ultraviolet light source apparatus

Номер патента: US20230288821A1. Автор: Noritaka Ashizawa,Hironobu Yabuta. Владелец: Ushio Denki KK. Дата публикации: 2023-09-14.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20240126185A1. Автор: Yoshifumi Ueno,Yuichi Nishimura,Shogo KITASAKA. Владелец: GIGAPHOTON INC. Дата публикации: 2024-04-18.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20240049378A1. Автор: Osamu Wakabayashi,Masaki Nakano. Владелец: GIGAPHOTON INC. Дата публикации: 2024-02-08.

Extreme ultraviolet mask with alloy based absorbers

Номер патента: US20230375921A1. Автор: Hsin-Chang Lee,Pei-Cheng Hsu,Ta-Cheng Lien,Ping-Hsun LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2029105B1. Автор: IWAMOTO Fumio. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-11.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2035291A. Автор: Wakabayashi Osamu,Nakano Masaki. Владелец: GIGAPHOTON INC. Дата публикации: 2024-02-07.

Extreme ultraviolet mask with capping layer

Номер патента: US20230116213A1. Автор: Hsin-Chang Lee,Pei-Cheng Hsu,Wei-Hao Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-04-13.

Method and apparatus for controlling extreme ultraviolet light

Номер патента: US20210364931A1. Автор: Shang-Chieh Chien,Li-Jui Chen,Ssu-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-11-25.

Dose control in an extreme ultraviolet light source

Номер патента: WO2024046835A1. Автор: Merlin HUANG. Владелец: ASML Netherlands B.V.. Дата публикации: 2024-03-07.

Alignment of extreme ultraviolet light source

Номер патента: WO2021239357A1. Автор: John Tom STEWART IV,Alexander Anthony Schafgans. Владелец: ASML Netherlands B.V.. Дата публикации: 2021-12-02.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20210033981A1. Автор: Yuta TAKASHIMA. Владелец: GIGAPHOTON INC. Дата публикации: 2021-02-04.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2035745A. Автор: UENO Yoshifumi,NISHIMURA Yuichi,Kitasaka Shogo. Владелец: GIGAPHOTON INC. Дата публикации: 2024-05-02.

Extreme ultraviolet light generation device

Номер патента: US20180314161A1. Автор: Toru Suzuki. Владелец: GIGAPHOTON INC. Дата публикации: 2018-11-01.

Extreme ultraviolet light source device

Номер патента: US11774856B2. Автор: Hironobu Yabuta,Hajime Kikuiri. Владелец: Ushio Denki KK. Дата публикации: 2023-10-03.

Extreme ultraviolet light generation apparatus, and electronic device manufacturing method

Номер патента: NL2027481B1. Автор: UEDA Atsushi,KOGE Koichiro,OSANAI Takayuki. Владелец: GIGAPHOTON INC. Дата публикации: 2022-06-01.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20220110204A1. Автор: Fumio Iwamoto. Владелец: GIGAPHOTON INC. Дата публикации: 2022-04-07.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2029105A. Автор: IWAMOTO Fumio. Владелец: GIGAPHOTON INC. Дата публикации: 2022-06-01.

Extreme ultraviolet light generation system

Номер патента: US10842011B2. Автор: Kengo Hayashi. Владелец: GIGAPHOTON INC. Дата публикации: 2020-11-17.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20240184220A1. Автор: Yoshifumi Ueno,Yuichi Nishimura,Kotaro Miyashita. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-06.

Extreme ultraviolet light generation apparatus, target control method, and electronic device manufacturing method

Номер патента: NL2027803A. Автор: Abe Toru. Владелец: GIGAPHOTON INC. Дата публикации: 2021-11-23.

Extreme ultraviolet light generation apparatus, target control method, and electronic device manufacturing method

Номер патента: NL2027803B1. Автор: Abe Toru. Владелец: GIGAPHOTON INC. Дата публикации: 2022-06-15.

Reflective mask and method for manufacturing same

Номер патента: US20140170536A1. Автор: Kazuaki Matsui,Norihito Fukugami,Yo Sakata,Genta Watanabe. Владелец: Toppan Printing Co Ltd. Дата публикации: 2014-06-19.

Metal Oxide Resists for EUV Patterning and Methods for Developing the Same

Номер патента: US20240045337A1. Автор: Akiteru Ko,Hamed Hajibabaeinajafabadi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-08.

Metal oxide resists for euv patterning and methods for developing the same

Номер патента: WO2024030275A1. Автор: Akiteru Ko,Hamad HAJIBABAEI. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-02-08.

Methods for fabricating semiconductor devices

Номер патента: US20180033637A1. Автор: SEOK-WON CHO,Nam-Gun Kim,Sangmin Lee,Kon Ha,Sinhae DO,Taeseop CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-01.

Extreme ultraviolet patterning process with resist hardening

Номер патента: US20200273704A1. Автор: Kangguo Cheng,ChoongHyun Lee,Ruilong Xie,Chanro Park. Владелец: International Business Machines Corp. Дата публикации: 2020-08-27.

System and method for cleaning an euv mask

Номер патента: US20230280666A1. Автор: Tzung-Chi Fu,Yen-Hui Li,Cheng-Han Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Extreme Ultraviolet Photoresist and Method

Номер патента: US20180341175A1. Автор: Chin-Hsiang Lin,Yen-Hao Chen,Chien-Wei Wang,Wei-Han Lai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-11-29.

Extreme ultraviolet reflectometer with rotating grating monochrometer

Номер патента: WO2006080909A1. Автор: James H. Underwood,Rupert C. C. Perrera,Phillip J. Batson. Владелец: Batson Phillip J. Дата публикации: 2006-08-03.

Methods for performing chemical assays using fluorescence and photon counting

Номер патента: US4231750A. Автор: Robert M. Dowben,James R. Bunting. Владелец: Diagnostic Reagents Inc. Дата публикации: 1980-11-04.

MATERIALS, COMPONENTS, AND METHODS FOR USE WITH EXTREME ULTRAVIOLET RADIATION IN LITHOGRAPHY AND OTHER APPLICATIONS

Номер патента: US20130188245A1. Автор: Jaiswal Supriya. Владелец: . Дата публикации: 2013-07-25.

MATERIALS, COMPONENTS, AND METHODS FOR USE WITH EXTREME ULTRAVIOLET RADIATION IN LITHOGRAPHY AND OTHER APPLICATIONS

Номер патента: US20160238755A1. Автор: Jaiswal Supriya. Владелец: . Дата публикации: 2016-08-18.

Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications

Номер патента: EP3224657B1. Автор: Supriya JAISWAL. Владелец: Individual. Дата публикации: 2024-04-10.

Extreme ultraviolet light generation apparatus

Номер патента: US20170215267A1. Автор: Takashi Saito,Yoshifumi Ueno,Tamotsu Abe,Hirokazu HOSODA. Владелец: GIGAPHOTON INC. Дата публикации: 2017-07-27.

Extreme ultraviolet light generation apparatus

Номер патента: US09942973B2. Автор: Takashi Saito,Yoshifumi Ueno,Tamotsu Abe,Hirokazu HOSODA. Владелец: GIGAPHOTON INC. Дата публикации: 2018-04-10.

Extreme ultraviolet light generation device

Номер патента: US09872372B2. Автор: Yoshifumi Ueno,Shinji Nagai,Tamotsu Abe,Atsushi Ueda. Владелец: GIGAPHOTON INC. Дата публикации: 2018-01-16.

Multifunctional composite structure for extreme conditions

Номер патента: RU2735149C2. Автор: Цзянь ДУН,Навид Моайед ХУССЕЙН. Владелец: Зе Боинг Компани. Дата публикации: 2020-10-28.

System and method for generating extreme ultraviolet light

Номер патента: EP2721907A2. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2014-04-23.

System and method for generating extreme ultraviolet light

Номер патента: WO2012173166A2. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori. Владелец: Gigaphoton Inc.. Дата публикации: 2012-12-20.

System and method for stereoscopic photography

Номер патента: US09538161B2. Автор: Hung-Chang Tsai,Ling-Wei Liu. Владелец: Lips Corp. Дата публикации: 2017-01-03.

Stereo particle tracking method for velocity measurement

Номер патента: LU502375B1. Автор: Chong Pan,Jianyu Dou. Владелец: Univ Beihang. Дата публикации: 2024-01-11.

Beam position control for an extreme ultraviolet light source

Номер патента: US20150257246A1. Автор: Igor V. Fomenkov,Vladimir B. Fleurov. Владелец: ASML Netherlands BV. Дата публикации: 2015-09-10.

Wave-front aberration metrology of extreme ultraviolet mask inspection systems

Номер патента: EP3973355A1. Автор: Rui-Fang Shi,Qiang Zhang,Dmitriy ZUSIN. Владелец: KLA Corp. Дата публикации: 2022-03-30.

Extreme ultraviolet light generation apparatus

Номер патента: WO2013110968A1. Автор: Yukio Watanabe,Osamu Wakabayashi,Miwa Igarashi,Norio Iwai,Kouji ASHIKAWA. Владелец: Gigaphoton Inc.. Дата публикации: 2013-08-01.

Extreme ultraviolet mask absorber and processes for manufacture

Номер патента: WO2020132391A1. Автор: Wen Xiao,CHANG Ke,Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials, Inc.. Дата публикации: 2020-06-25.

Extreme ultraviolet lithography projection optics system and associated methods.

Номер патента: NL2013719B1. Автор: Lu Yen-Cheng,Yu Shinn-Sheng,Yen Anthony. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2016-04-04.

Reflective optical element and method for operating an euv lithography apparatus

Номер патента: EP2483746A1. Автор: Dirk Heinrich Ehm,Gisela von Blanckenhagen,Axel Dochnahl. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2012-08-08.

Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.

Номер патента: NL2004787A. Автор: Wouter Soer,Martin Jak. Владелец: ASML Netherlands BV. Дата публикации: 2011-01-04.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2036212A. Автор: UENO Yoshifumi,MIYASHITA Kotaro,NISHIMURA Yuichi. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-11.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20240184211A1. Автор: Yoshifumi Ueno,Yuichi Nishimura,Kotaro Miyashita. Владелец: GIGAPHOTON INC. Дата публикации: 2024-06-06.

Mask for extreme ultraviolet photolithography

Номер патента: US20210341829A1. Автор: Hsin-Chang Lee,Ta-Cheng Lien,Wen-Chang Hsueh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-11-04.

Mask for extreme ultraviolet photolithography

Номер патента: US11815805B2. Автор: Hsin-Chang Lee,Ta-Cheng Lien,Wen-Chang Hsueh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-14.

Interpretable deep learning model for extreme climate prediction

Номер патента: LU504816B1. Автор: Lizhi Tao. Владелец: Univ Jiangxi Normal. Дата публикации: 2024-02-01.

Receiver and decoder for extreme low power, unterminated, multi-drop serdes

Номер патента: US20200097245A1. Автор: Lior Amarilio,Jason Gonzalez,Puxuan DONG. Владелец: Qualcomm Inc. Дата публикации: 2020-03-26.

Color-matching apparatus and color-matching method for repair paint

Номер патента: US09964445B1. Автор: Makoto Ueno. Владелец: Car Conveni Club Co Ltd. Дата публикации: 2018-05-08.

Optical sensor for extreme environments

Номер патента: US8035822B2. Автор: Frank Perez,Nabeel Agha Riza. Владелец: Nusensors Inc. Дата публикации: 2011-10-11.

Matching method of wireless charging mouse

Номер патента: US09946364B2. Автор: Yuan-Jung Chang. Владелец: Dexin Electronic Ltd. Дата публикации: 2018-04-17.

Concealed data matching device, concealed data matching program, and concealed data matching method

Номер патента: US09870458B2. Автор: Yuka Jo. Владелец: Fujitsu Ltd. Дата публикации: 2018-01-16.

Method for Forming an Extreme Ultraviolet Lithography Pellicle

Номер патента: US20210191255A1. Автор: Huyghebaert Cedric,Gallagher Emily,Pollentier Ivan,Timmermans Marina,Schapmans Elie. Владелец: . Дата публикации: 2021-06-24.

Extreme ultraviolet lithography mask blank and manufacturing method therefor

Номер патента: US6117597A. Автор: Kathleen R. Early. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-09-12.

Extreme ultraviolet lithography method using robust, high transmission pellicle

Номер патента: US12055855B2. Автор: Yun-Yue Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Pellicle for extreme ultraviolet lithography

Номер патента: EP4075195A1. Автор: Hyeong Keun Kim,Seul Gi Kim,Hyun Mi Kim,Jin Woo Cho,Ki Hun Seong. Владелец: KOREA ELECTRONICS TECHNOLOGY INSTITUTE. Дата публикации: 2022-10-19.

Phase shift mask for EUV lithography and manufacturing method for the phase shift mask

Номер патента: US12025912B2. Автор: Tae Joong Ha. Владелец: SK hynix Inc. Дата публикации: 2024-07-02.

Phase shift mask for euv lithography and manufacturing method for the phase shift mask

Номер патента: US20240310717A1. Автор: Tae Joong Ha. Владелец: SK hynix Inc. Дата публикации: 2024-09-19.

Phase-shift mask for extreme ultraviolet lithography

Номер патента: US20180143527A1. Автор: Roman Chalykh,Taehoon Lee,Seongsue Kim,Hwanseok SEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-05-24.

Phase shift masks for extreme ultraviolet lithography

Номер патента: US11774846B2. Автор: Dongwan Kim,Seongsue Kim,Hwanseok SEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-03.

Robust, high transmission pellicle for extreme ultraviolet lithography systems

Номер патента: US20210132490A1. Автор: Yun-Yue Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-06.

Photomask for extreme ultraviolet

Номер патента: US20230305382A1. Автор: Tae Young Kim,Inkyun Shin,Sung Hoon Son,Min Gyo Jeong,GeonGon LEE,Seong Yoon Kim. Владелец: SK Enpulse Co Ltd. Дата публикации: 2023-09-28.

Extreme ultraviolet lithography method using robust, high transmission pellicle

Номер патента: US20230251566A1. Автор: Yun-Yue Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-10.

Phase shift masks for extreme ultraviolet lithography

Номер патента: US20230018819A1. Автор: Dongwan Kim,Seongsue Kim,Hwanseok SEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-01-19.

Method for light exposure

Номер патента: US6677108B2. Автор: Nobuyuki Matsuzawa,Shigeo Irie. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2004-01-13.

Method for light exposure

Номер патента: US20020119401A1. Автор: Nobuyuki Matsuzawa,Shigeo Irie. Владелец: Sony Corp. Дата публикации: 2002-08-29.

Methods for controlling defects for extreme ultraviolet lithography (euvl) photomask substrate

Номер патента: US20140045103A1. Автор: AJAY Kumar,Banqiu Wu,Omkaram Nalamasu. Владелец: Individual. Дата публикации: 2014-02-13.

Crosslinkable photoresist for extreme ultraviolet lithography

Номер патента: US20230350295A1. Автор: Ching-Yu Chang,Wei-Han Lai,Li-Po YANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-02.

Extreme ultraviolet (euv) mask absorber and method for forming the same

Номер патента: US20190227427A1. Автор: Jed H. Rankin,Lei Zhuang,Amr Y. Abdo. Владелец: Globalfoundries Inc. Дата публикации: 2019-07-25.

Extreme ultraviolet lithography system that utilizes pattern stitching

Номер патента: US20190235393A1. Автор: David M. Williamson,Michael B. Binnard,Daniel Gene Smith. Владелец: Nikon Corp. Дата публикации: 2019-08-01.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US20230161262A1. Автор: Kotaro Miyashita,Gouta NIIMI. Владелец: GIGAPHOTON INC. Дата публикации: 2023-05-25.

Extreme ultraviolet lithography system that utilizes pattern stitching

Номер патента: US20170336720A1. Автор: David M. Williamson,Michael B. Binnard,Daniel Gene Smith. Владелец: Nikon Corp. Дата публикации: 2017-11-23.

Method of cleaning extreme ultraviolet lithography collector

Номер патента: US20200073250A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,An-Ren Zi. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-05.

Extreme ultraviolet mask absorber materials

Номер патента: WO2020236883A1. Автор: Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials, Inc.. Дата публикации: 2020-11-26.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2033232A. Автор: MIYASHITA Kotaro,NIIMI Gouta. Владелец: GIGAPHOTON INC. Дата публикации: 2023-06-12.

Extreme ultraviolet mask blank with multilayer absorber and method of manufacture

Номер патента: US11754917B2. Автор: Vibhu Jindal. Владелец: Applied Materials Inc. Дата публикации: 2023-09-12.

Extreme ultraviolet mask absorber materials

Номер патента: WO2020236886A1. Автор: Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials, Inc.. Дата публикации: 2020-11-26.

Extreme Ultraviolet Mask Blank Structure

Номер патента: US20220252971A1. Автор: SHIYU Liu,Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials Inc. Дата публикации: 2022-08-11.

Semiconductor device manufacturing method and extreme ultraviolet mask manufacturing method

Номер патента: US12092961B2. Автор: Dongwon Kang,Sang Chul YEO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-17.

Dense line extreme ultraviolet lithography system with distortion matching

Номер патента: US20190212663A1. Автор: Michael B. Binnard. Владелец: Nikon Corp. Дата публикации: 2019-07-11.

Method for producing a high resolution resist pattern on a semiconductor wafer

Номер патента: US20080292996A1. Автор: Thomas Wallow,Uzodinma Okoroanyanwu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2008-11-27.

Amplification method for photoresist exposure in semiconductor chip manufacturing

Номер патента: US09709898B2. Автор: Richard S. Wise,Daniel A. Corliss. Владелец: International Business Machines Corp. Дата публикации: 2017-07-18.

Extreme ultraviolet light source apparatus and method of adjusting the same

Номер патента: US20100193712A1. Автор: Kazuo Tawarayama. Владелец: Individual. Дата публикации: 2010-08-05.

Composition and method for manufacturing device using same

Номер патента: US20200048191A1. Автор: Yusuke Suga,Satoshi Enomoto. Владелец: Toyo Gosei Co Ltd. Дата публикации: 2020-02-13.

Extreme ultraviolet lithography process and mask

Номер патента: US09996013B2. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-12.

Drying an extreme ultraviolet (EUV) pellicle

Номер патента: US09950349B2. Автор: Dario L. Goldfarb. Владелец: International Business Machines Corp. Дата публикации: 2018-04-24.

Extreme ultraviolet lithography process and mask

Номер патента: US09829785B2. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-28.

Extreme ultraviolet lithography process

Номер патента: US09760015B2. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Mechanical isolation control for an extreme ultraviolet (EUV) pellicle

Номер патента: US09915867B2. Автор: Dario L. Goldfarb. Владелец: International Business Machines Corp. Дата публикации: 2018-03-13.

Extreme ultraviolet exposure apparatus including a mask stage

Номер патента: US20240219848A1. Автор: Jinhong Park,Dohyung Kim,Sanghwan Lee,Seongchul HONG,Hachul Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-04.

Multilayer extreme ultraviolet reflectors

Номер патента: US11762278B2. Автор: Wen Xiao,Vibhu Jindal,Herng Yau Yoong. Владелец: Applied Materials Inc. Дата публикации: 2023-09-19.

Multiple Exposures in Extreme Ultraviolet Lithography

Номер патента: US20140272720A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

Extreme ultraviolet mask blank defect reduction

Номер патента: US11789358B2. Автор: Wen Xiao,Sanjay Bhat,Weimin Li,Vibhu Jindal,Azeddine Zerrade. Владелец: Applied Materials Inc. Дата публикации: 2023-10-17.

Optical device, and method for preventing contamination of optical device

Номер патента: US11353802B2. Автор: Haruhiko Kusunose,Tsunehito KOHYAMA. Владелец: Lasertec Corp. Дата публикации: 2022-06-07.

Extreme Ultraviolet Lithography System

Номер патента: US20200019070A1. Автор: Ching-Yu Chang,Chin-Hsiang Lin,Cheng-Han Wu,Ming-Hui Weng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-16.

Damascene extreme ultraviolet lithography (euvl) photomask and method of making

Номер патента: EP1412817A2. Автор: Pei-Yang Yan. Владелец: Intel Corp. Дата публикации: 2004-04-28.

Extreme ultraviolet lithography system

Номер патента: US12055865B2. Автор: Chi Yang,Po-Chung Cheng,Li-Jui Chen,Che-Chang Hsu,Ssu-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Damascene extreme ultraviolet lithography (euvl) photomask and method of making

Номер патента: WO2003012546A3. Автор: Pei-Yang Yan. Владелец: Intel Corp. Дата публикации: 2003-12-18.

High Heat Load Optics with Vibration Isolated Hoses in an Extreme Ultraviolet Lithography System

Номер патента: US20130323649A1. Автор: Douglas C. Watson,Travis BOW. Владелец: Nikon Corp. Дата публикации: 2013-12-05.

Extreme ultraviolet mask and method of manufacturing the same

Номер патента: US20240280889A1. Автор: SunPyo LEE,Minchang KIM,Yoontaek Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-22.

Extreme ultraviolet lithography system

Номер патента: US20240353766A1. Автор: Chi Yang,Po-Chung Cheng,Li-Jui Chen,Che-Chang Hsu,Ssu-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

Extreme ultraviolet lithography photomasks

Номер патента: US09946152B2. Автор: Jed H. Rankin,Zhengqing John QI,Christina A. Turley. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-17.

Extreme ultraviolet lithography process and mask

Номер патента: US09690186B2. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-27.

Foil trap and extreme ultraviolet light source device using the foil trap

Номер патента: EP1972999A3. Автор: Takahiro Inoue,Takahiro Shirai. Владелец: Ushio Denki KK. Дата публикации: 2009-07-15.

Extreme ultraviolet mask absorber materials

Номер патента: WO2020236887A1. Автор: Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials, Inc.. Дата публикации: 2020-11-26.

Extreme ultraviolet mask absorber materials

Номер патента: WO2021150556A1. Автор: Wen Xiao,Vibhu Jindal,Azeddine Zerrade,Shuwei Liu. Владелец: Applied Materials, Inc.. Дата публикации: 2021-07-29.

Extreme ultraviolet photomask and method for fabricating the same

Номер патента: US20180284596A1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2018-10-04.

Extreme ultraviolet mask absorber materials

Номер патента: US11860533B2. Автор: SHIYU Liu,Vibhu Jindal,Azeddine Zerrade,Shuwei Liu. Владелец: Applied Materials Inc. Дата публикации: 2024-01-02.

Extreme ultraviolet mask blank structure

Номер патента: WO2022173777A1. Автор: SHIYU Liu,Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials, Inc.. Дата публикации: 2022-08-18.

Extreme ultraviolet photomask and method for fabricating the same

Номер патента: US10571796B2. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2020-02-25.

Extreme ultraviolet mask absorber materials

Номер патента: US20220236634A1. Автор: SHIYU Liu,Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials Inc. Дата публикации: 2022-07-28.

Extreme ultraviolet mask absorber materials

Номер патента: WO2020236888A1. Автор: Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials, Inc.. Дата публикации: 2020-11-26.

Extreme ultraviolet mask absorber materials

Номер патента: US20200249559A1. Автор: Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials Inc. Дата публикации: 2020-08-06.

Extreme ultraviolet lithography process and mask

Номер патента: US20160306272A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-20.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20150098069A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-04-09.

Extreme Ultraviolet Mask Absorber Materials

Номер патента: US20220221783A1. Автор: SHIYU Liu,Vibhu Jindal,Shuwei Liu. Владелец: Applied Materials Inc. Дата публикации: 2022-07-14.

Optical device, and method for preventing contamination of optical device

Номер патента: US20210373447A1. Автор: Haruhiko Kusunose,Tsunehito KOHYAMA. Владелец: Lasertec Corp. Дата публикации: 2021-12-02.

Extreme ultraviolet mask with backside coating

Номер патента: WO2020086932A1. Автор: Vikash Banthia,Madhavi R. Chandrachood,Vibhu Jindal. Владелец: Applied Materials, Inc.. Дата публикации: 2020-04-30.

Multilayer extreme ultraviolet reflector materials

Номер патента: US11815803B2. Автор: Wen Xiao,Vibhu Jindal,Binni VARGHESE. Владелец: Applied Materials Inc. Дата публикации: 2023-11-14.

Integration system and the method for operating the same

Номер патента: US20070026322A1. Автор: Chin-Cheng Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-02-01.

An extreme ultraviolet lithography process

Номер патента: KR101485669B1. Автор: 옌-쳉 루,쉰-쉥 유,앤소니 옌. Владелец: 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드. Дата публикации: 2015-01-22.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20140272679A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20150346596A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-12-03.

Multilayer extreme ultraviolet reflectors

Номер патента: WO2023038840A1. Автор: Wen Xiao,Vibhu Jindal,Herng Yau Yoong. Владелец: Applied Materials, Inc.. Дата публикации: 2023-03-16.

Extreme ultraviolet mask with tantalum base alloy absorber

Номер патента: US11852965B2. Автор: Hsin-Chang Lee,Pei-Cheng Hsu,Ta-Cheng Lien. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Extreme Ultraviolet Lithography Process and Mask

Номер патента: US20140268091A1. Автор: YEN-CHENG Lu,Shinn-Sheng Yu,Jeng-Horng Chen,Anthony Yen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

Multilayer extreme ultraviolet reflectors

Номер патента: US11782337B2. Автор: Wen Xiao,Vibhu Jindal,Herng Yau Yoong. Владелец: Applied Materials Inc. Дата публикации: 2023-10-10.

Extreme ultraviolet mask and method of manufacturing the same

Номер патента: US20230359115A1. Автор: Yun-Yue Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-09.

Extreme ultraviolet mask and method of manufacturing the same

Номер патента: US11789355B2. Автор: Yun-Yue Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-17.

Extreme ultraviolet (euv) mask for lithography and associated methods

Номер патента: US20190163048A1. Автор: No-Young Chung,Woon-hyuk CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-05-30.

Extreme ultraviolet lithography photomasks

Номер патента: US20170315438A1. Автор: Jed H. Rankin,Zhengqing John QI,Christina A. Turley. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-02.

Extreme ultraviolet lithography system

Номер патента: US20210033983A1. Автор: Chi Yang,Po-Chung Cheng,Li-Jui Chen,Che-Chang Hsu,Ssu-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-04.

Extreme ultraviolet (euv) mask and manufacturing method thereof

Номер патента: US20240126161A1. Автор: SunPyo LEE,Euihan Jung,Sungwoo JANG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-18.

Extreme ultraviolet (euv) photomask

Номер патента: US20230400758A1. Автор: Jeonghyun Kim,Sangjin Kim,Jihun Lee,Yigwon Kim,Jinhee Jang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-12-14.

Multilayer extreme ultraviolet reflectors

Номер патента: WO2022266057A1. Автор: Wen Xiao,Vibhu Jindal,Herng Yau Yoong. Владелец: Applied Materials, Inc.. Дата публикации: 2022-12-22.

Extreme ultraviolet mask with tantalum base alloy absorber

Номер патента: US20240192581A1. Автор: Hsin-Chang Lee,Pei-Cheng Hsu,Ta-Cheng Lien. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-13.

Damascene extreme ultraviolet lithography (euvl) photomask and method of making

Номер патента: WO2003012546A2. Автор: Pei-Yang Yan. Владелец: Intel Corporation (A Delaware Corporation). Дата публикации: 2003-02-13.

Method for making a reflection lithographic mask and mask obtained by said method

Номер патента: US7923177B2. Автор: Christelle Charpin-Nicolle. Владелец: Commissariat a lEnergie Atomique CEA. Дата публикации: 2011-04-12.

Pellicle and method for manufacturing the same

Номер патента: TW201734631A. Автор: 林志誠,楊棋銘,林雲躍,馬正鑫,吳小真,陳其賢. Владелец: 台灣積體電路製造股份有限公司. Дата публикации: 2017-10-01.

EUV pellicle structure, and method for manufacturing same

Номер патента: KR101726125B1. Автор: 홍성철,김정환,김지은,정성훈,안진호. Владелец: 한양대학교 산학협력단. Дата публикации: 2017-04-13.

Reflective photomask blank, and method for manufacturing reflective photomask

Номер патента: EP4276532A1. Автор: Takeshi Ishii,Keisuke Sakurai,Shohei Mimura. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-11-15.

Reflective Photomask Blank, and Method for Manufacturing Reflective Photomask

Номер патента: US20230367199A1. Автор: Takeshi Ishii,Keisuke Sakurai,Shohei Mimura. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2023-11-16.

Method for fabricating euv mask and photomask using the euv mask

Номер патента: US20240176226A1. Автор: Sang Ho Lee,Chang Moon Lim,Chan Ha Park,Suk Won Park,Tae Kwon JEE. Владелец: SK hynix Inc. Дата публикации: 2024-05-30.

Method for extreme class imbalance within fraud detection

Номер патента: US20230316281A1. Автор: Danny BUTVINIK,Amir Shachar,Michal Einhorn-Cohen. Владелец: Actimize Ltd. Дата публикации: 2023-10-05.

Apparatus and methods for inspecting extreme ultra violet reticles

Номер патента: US09679372B2. Автор: Stanley E. Stokowski,Mehdi Vaez-Iravani,Mehran Nasser-Ghodsi. Владелец: KLA Tencor Corp. Дата публикации: 2017-06-13.

System and method for autonomous vehicle and method for swapping autonomous vehicle during operation

Номер патента: US12094348B1. Автор: Travis Kunkel. Владелец: Individual. Дата публикации: 2024-09-17.

Method for Enhanced Nuclear Reactions

Номер патента: US20200090822A1. Автор: Shui Yin Lo. Владелец: Individual. Дата публикации: 2020-03-19.

Method for enhanced nuclear reactions

Номер патента: WO2020205294A3. Автор: Shui Yin Lo. Владелец: Shui Yin Lo. Дата публикации: 2020-11-12.

Method for enhanced nuclear reactions

Номер патента: WO2020205294A2. Автор: Shui Yin Lo. Владелец: Shui Yin Lo. Дата публикации: 2020-10-08.

A method for estimating the pose of tank filler cap of automatic refueling robot

Номер патента: AU2021106134A4. Автор: XIN Wang,Hongbin Ma,Junyong CHEN. Владелец: Beijing Institute of Technology BIT. Дата публикации: 2021-10-28.

Difference analysis method for 3D cad models based on key-point matching

Номер патента: US12118278B1. Автор: Jin Cheng,Zhenyu Liu,Hao LV,Jianrong TAN. Владелец: Zhejiang University ZJU. Дата публикации: 2024-10-15.

Difference analysis method for 3d cad models based on key-point matching

Номер патента: US20240338501A1. Автор: Jin Cheng,Zhenyu Liu,Hao LV,Jianrong TAN. Владелец: Zhejiang University ZJU. Дата публикации: 2024-10-10.

Adaptive fingerprint matching method and apparatus

Номер патента: EP1836649A2. Автор: Peter Z. Lo,Behnam Bavarian. Владелец: Motorola Inc. Дата публикации: 2007-09-26.

System and method for autonomous vehicle and method for swapping autonomous vehicle during operation

Номер патента: US11869363B1. Автор: Travis Kunkel. Владелец: Individual. Дата публикации: 2024-01-09.

Matching methods and apparatus using landmark points in a print

Номер патента: WO2007133852A3. Автор: Behnam Bavarian,Sam M Daniel,Peter Z Lo,Harshawardhan M Wabgaonkar. Владелец: Harshawardhan M Wabgaonkar. Дата публикации: 2008-11-13.

Matching methods and apparatus using landmark points in a print

Номер патента: WO2007133852A2. Автор: Behnam Bavarian,Sam M. Daniel,Peter Z. Lo,Harshawardhan M. Wabgaonkar. Владелец: MOTOROLA, INC.. Дата публикации: 2007-11-22.

Matching methods and apparatus using landmark points in a print

Номер патента: EP2024904A2. Автор: Behnam Bavarian,Sam M. Daniel,Peter Z. Lo,Harshawardhan M. Wabgaonkar. Владелец: Motorola Inc. Дата публикации: 2009-02-18.

Systems and methods for training and using a machine learning model for matching objects

Номер патента: CA3170178A1. Автор: Roni GURVICH,Shaked Dunay,Adam Malloul. Владелец: Donde Mobile R&d Ltd. Дата публикации: 2023-08-16.

Dance matching method and system

Номер патента: US20240233378A1. Автор: Ki Bong KOOK. Владелец: Sidewalk Entertainment Co Ltd. Дата публикации: 2024-07-11.

Integrated circuit dynamic capacitance matching method, simulation equipment, and storage medium

Номер патента: US20240311539A1. Автор: Zhoujie Wu,Zhong Guan. Владелец: Zhuhai Chipoly Technology Ltd. Дата публикации: 2024-09-19.

Dance matching method and system

Номер патента: US12125280B2. Автор: Ki Bong KOOK. Владелец: Sidewalk Entertainment Co Ltd. Дата публикации: 2024-10-22.

Apparatus and method for production of extreme ultraviolet (EUV) radiation

Номер патента: EP1691588A2. Автор: Jürgen Dr. Kleinschmidt,Kai Dr. Gäbel. Владелец: XTREME technologies GmbH. Дата публикации: 2006-08-16.

System and method for generating extreme ultraviolet light

Номер патента: US20150351209A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2015-12-03.

System and method for generating extreme ultraviolet light

Номер патента: US09877378B2. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori,Hakaru Mizoguchi. Владелец: GIGAPHOTON INC. Дата публикации: 2018-01-23.

Extreme ultraviolet light source device

Номер патента: US09826617B2. Автор: Takuma Yokoyama. Владелец: Ushio Denki KK. Дата публикации: 2017-11-21.

Extreme ultraviolet light generation apparatus

Номер патента: US20140034852A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tooru Abe. Владелец: GIGAPHOTON INC. Дата публикации: 2014-02-06.

System and method for generating extreme ultraviolet light

Номер патента: US20170019983A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori,Hakaru Mizoguchi. Владелец: GIGAPHOTON INC. Дата публикации: 2017-01-19.

System and method for generating extreme ultraviolet light

Номер патента: US20180110116A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori,Hakaru Mizoguchi. Владелец: GIGAPHOTON INC. Дата публикации: 2018-04-19.

System and method for generating extreme ultraviolet light

Номер патента: US20190037677A1. Автор: Osamu Wakabayashi,Kouji Kakizaki,Tatsuya Yanagida,Tsukasa Hori,Hakaru Mizoguchi. Владелец: GIGAPHOTON INC. Дата публикации: 2019-01-31.

Extreme ultraviolet light source device

Номер патента: US20170094766A1. Автор: Takuma Yokoyama. Владелец: Ushio Denki KK. Дата публикации: 2017-03-30.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: US11778721B2. Автор: Yuichi Nishimura,Takayuki Yabu,Hiroaki Nakarai. Владелец: GIGAPHOTON INC. Дата публикации: 2023-10-03.

Extreme ultraviolet light generation system and electronic device manufacturing method

Номер патента: NL2030088B1. Автор: YABU Takayuki,NISHIMURA Yuichi,NAKARAI Hiroaki. Владелец: GIGAPHOTON INC. Дата публикации: 2024-01-04.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20230387644A1. Автор: Takashi Suganuma. Владелец: GIGAPHOTON INC. Дата публикации: 2023-11-30.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034367A. Автор: SUGANUMA Takashi. Владелец: GIGAPHOTON INC. Дата публикации: 2023-12-05.

Rotating target for extreme ultraviolet source with liquid metal

Номер патента: US12133318B2. Автор: Rui-Fang Shi,Alexander Bykanov. Владелец: KLA Corp. Дата публикации: 2024-10-29.

Rotating target for extreme ultraviolet source with liquid metal

Номер патента: US20230403778A1. Автор: Rui-Fang Shi,Alexander Bykanov. Владелец: KLA Corp. Дата публикации: 2023-12-14.

Rotating target for extreme ultraviolet source with liquid metal

Номер патента: WO2023239563A1. Автор: Rui-Fang Shi,Alexander Bykanov. Владелец: KLA Corporation. Дата публикации: 2023-12-14.

Extreme ultraviolet light and plasma combined atomic-scale processing method

Номер патента: EP4197966A1. Автор: Fengzhou Fang. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2023-06-21.

Method for forming surface oxide layer on amorphous silicon

Номер патента: US09646819B2. Автор: Tianming DAI. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-05-09.

Extreme ultraviolet light generation apparatus

Номер патента: US20130161540A1. Автор: Osamu Wakabayashi,Shinji Nagai. Владелец: GIGAPHOTON INC. Дата публикации: 2013-06-27.

Pulsed discharge extreme ultraviolet source with magnetic shield

Номер патента: US20120146510A1. Автор: Malcolm W. McGeoch. Владелец: PLEX LLC. Дата публикации: 2012-06-14.

Atomic-scale processing method by combining extreme ultraviolet light and plasma

Номер патента: US20220336189A1. Автор: Fengzhou Fang. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2022-10-20.

Extreme ultraviolet light generation device

Номер патента: US09961755B2. Автор: Hiroshi Umeda,Kazukiyo KAMIKANNA. Владелец: GIGAPHOTON INC. Дата публикации: 2018-05-01.

Extreme ultraviolet light generation apparatus

Номер патента: US09854658B2. Автор: Masaki Nakano,Fumio Iwamoto,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2017-12-26.

Stabilizing EUV light power in an extreme ultraviolet light source

Номер патента: US09713240B2. Автор: Robert Jay Rafac,Daniel Jason Riggs. Владелец: ASML Netherlands BV. Дата публикации: 2017-07-18.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: US20230300966A1. Автор: Shogo KITASAKA. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-21.

Induction heated buffer gas heat pipe for use in an extreme ultraviolet source

Номер патента: US20130153568A1. Автор: Malcolm W. McGeoch. Владелец: PLEX LLC. Дата публикации: 2013-06-20.

Electrode-less discharge extreme ultraviolet light source

Номер патента: WO2006015125A3. Автор: Bruno Bauer. Владелец: Univ & Community College Syste. Дата публикации: 2006-03-23.

Systems and methods for controlling EUV energy generation using pulse intensity

Номер патента: US09980359B2. Автор: Robert Jay Rafac,Daniel Jason Riggs. Владелец: ASML Netherlands BV. Дата публикации: 2018-05-22.

Target supply apparatus, extreme ultraviolet light generating apparatus, and target supply method

Номер патента: US09860967B2. Автор: Tsukasa Hori,Hirokazu HOSODA. Владелец: GIGAPHOTON INC. Дата публикации: 2018-01-02.

Target expansion rate control in an extreme ultraviolet light source

Номер патента: US09820368B2. Автор: Robert Jay Rafac,Daniel Jason Riggs. Владелец: ASML Netherlands BV. Дата публикации: 2017-11-14.

Light source device and method for generating extreme ultraviolet light

Номер патента: US8698115B1. Автор: Masashi Onishi,Waheed Hugrass. Владелец: KANSAI UNIVERSITY. Дата публикации: 2014-04-15.

Wafer matching methods for use in assembling micromirror array devices

Номер патента: US20050287694A1. Автор: Anoop Singhal,Y. Dan Rubinstein. Владелец: Venture Lending and Leasing IV Inc. Дата публикации: 2005-12-29.

Extreme ultraviolet light generation apparatus including target droplet joining apparatus

Номер патента: US9699877B2. Автор: Fumio Iwamoto,Hiroshi Umeda,Tsukasa Hori. Владелец: GIGAPHOTON INC. Дата публикации: 2017-07-04.

Device for emitting extreme ultraviolet light

Номер патента: US10143075B2. Автор: Yusuke Teramoto,Akihisa NAGANO,Hideyuki URAKAMI. Владелец: Ushio Denki KK. Дата публикации: 2018-11-27.

Extreme ultraviolet light generation apparatus

Номер патента: US20160255707A1. Автор: Takashi Saito,Osamu Wakabayashi,Atsushi Ueda,Takayuki Yabu,Georg Soumagne. Владелец: GIGAPHOTON INC. Дата публикации: 2016-09-01.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034072A. Автор: Kitasaka Shogo. Владелец: GIGAPHOTON INC. Дата публикации: 2023-09-21.

Extreme ultraviolet light generation apparatus and electronic device manufacturing method

Номер патента: NL2034072B1. Автор: Kitasaka Shogo. Владелец: GIGAPHOTON INC. Дата публикации: 2024-03-19.

Extreme ultraviolet light generation apparatus

Номер патента: US8710474B2. Автор: Osamu Wakabayashi,Takeshi Kodama. Владелец: GIGAPHOTON INC. Дата публикации: 2014-04-29.

Target expansion rate control in an extreme ultraviolet light source

Номер патента: US20200260564A1. Автор: Robert Jay Rafac,Daniel Jason Riggs. Владелец: ASML Netherlands BV. Дата публикации: 2020-08-13.

Device for emitting extreme ultraviolet light

Номер патента: US20160295674A1. Автор: Yusuke Teramoto,Akihisa NAGANO,Hideyuki URAKAMI. Владелец: Ushio Denki KK. Дата публикации: 2016-10-06.

System and method for controlling source laser firing in an LPP EUV light source

Номер патента: US9426872B1. Автор: Robert Jay Rafac,Daniel Jason Riggs. Владелец: ASML Netherlands BV. Дата публикации: 2016-08-23.

Apparatus and method for compensating for varying adjacent channel conditions

Номер патента: EP1751969A1. Автор: Rodger Anthony Diemer. Владелец: Thomson Licensing SAS. Дата публикации: 2007-02-14.

Remotely powered reconfigurable receiver for extreme sensing platforms

Номер патента: US09735612B2. Автор: Douglas J. Sheldon. Владелец: California Institute of Technology CalTech. Дата публикации: 2017-08-15.

Method for data rate matching

Номер патента: WO2008156341A3. Автор: So Yeon Kim,Seung Hyun Kang,Min Seok Oh,Ji Ae Seok,Ji Wook Chung,Young Seob Lee. Владелец: Young Seob Lee. Дата публикации: 2009-02-05.

Link adaptation for extremely high throughput systems

Номер патента: EP4393213A1. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-07-03.

Link adaptation for extremely high throughput systems

Номер патента: WO2023040898A1. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2023-03-23.

Link adaptation control for extremely high throughput systems

Номер патента: EP4402885A1. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-07-24.

Adaptation of spatial reuse for extremely high throughput

Номер патента: EP4434248A1. Автор: Laurent Cariou. Владелец: Intel Corp. Дата публикации: 2024-09-25.

Adaptation of spatial reuse for extremely high throughput

Номер патента: WO2023086188A1. Автор: Laurent Cariou. Владелец: Intel Corporation. Дата публикации: 2023-05-19.

Link adaptation control for extremely high throughput systems

Номер патента: US11764836B2. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-09-19.

Link adaptation control for extremely high throughput systems

Номер патента: US20230370125A1. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-11-16.

Link adaptation control for extremely high throughput systems

Номер патента: CA3235661A1. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2023-04-27.

Link adaptation control for extremely high throughput systems

Номер патента: WO2023066228A1. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2023-04-27.

Link adaptation control for extremely high throughput systems

Номер патента: AU2022373575A1. Автор: Yan Xin,Jung Hoon SUH,Osama Aboul-Magd,Kwok Shum Au. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-05-16.

System and method for providing low latency high throughput communications between mobile cranes

Номер патента: US20240114395A1. Автор: Steven Hill,Greg DUNNELL. Владелец: Buckeye Mountain Inc. Дата публикации: 2024-04-04.

Compounds and processes for extreme ultraviolet lithography

Номер патента: US20240002412A1. Автор: Thomas M. Cameron,David M. ERMERT. Владелец: Entegris Inc. Дата публикации: 2024-01-04.

Compounds and processes for extreme ultraviolet lithography

Номер патента: WO2024006453A1. Автор: Thomas M. Cameron,David M. ERMERT. Владелец: ENTEGRIS, INC.. Дата публикации: 2024-01-04.

Reduced striae low expansion glass and elements, and a method for making same

Номер патента: WO2007143069A3. Автор: John E Maxon,William R Rosch. Владелец: William R Rosch. Дата публикации: 2008-01-31.

System and method for online community management

Номер патента: US09901831B2. Автор: Dan Conti,Evan Michaels,Pablo Aguilar Fruto. Владелец: Take Two Interactive Software Inc. Дата публикации: 2018-02-27.

System and method for online community management

Номер патента: US09839838B1. Автор: Daniel Conti,Evan Michaels,Pablo Aguilar Fruto. Владелец: Take Two Interactive Software Inc. Дата публикации: 2017-12-12.

Regenerator material for extremely low temperatures and regenerator for extremely low temperatures using the same

Номер патента: US6042657A. Автор: Naoyuki Sori,Masami Okamura. Владелец: Toshiba Corp. Дата публикации: 2000-03-28.

Dual Phase High Entropy Boride-Carbide Composites for Extreme Environments

Номер патента: US20240287657A1. Автор: Ramasis Goswami,Alex E. Moser. Владелец: US Department of Navy. Дата публикации: 2024-08-29.

Real-time impedance matching method for high frequency treatment device

Номер патента: EP4368245A1. Автор: Ki Hang Kim. Владелец: Tentech Inc. Дата публикации: 2024-05-15.

Doubly prestressed roof-ceiling construction with grid flat-soffit for extremely large spans

Номер патента: LV13201B. Автор: Milovan Skendzic,Branko Smrcek. Владелец: Mara Inst Doo. Дата публикации: 2004-11-20.

Random amplification methods for extremely low input nucleic acids

Номер патента: US11814674B2. Автор: Fei Fan NG. Владелец: US Department of Health and Human Services. Дата публикации: 2023-11-14.

Disposable irrigation basin for extremity procedures

Номер патента: US20210162113A1. Автор: Francis Derk. Владелец: Mdm Wound Ventures Inc. Дата публикации: 2021-06-03.

Color Management Method for Color Representation with Self-Luminous Color Primaries

Номер патента: US20110273468A1. Автор: Hugh Fairman,Patrick Tak Fu Chong. Владелец: Columbia Insurance Co. Дата публикации: 2011-11-10.

Structure for extreme thermal cycling

Номер патента: CA2581842C. Автор: Steve M. Cihlar,Dale A. Swanson,Randy L. Eberly. Владелец: Chicago Bridge and Iron Co. Дата публикации: 2014-12-09.

Protective composition and method for manufacture the same

Номер патента: US20030236044A1. Автор: Lilya Nissim,Yelena Shifman. Владелец: Individual. Дата публикации: 2003-12-25.

Ocular membrane and method for preparation thereof

Номер патента: CA1097958A. Автор: William S. Covington. Владелец: Danker Laboratories Inc. Дата публикации: 1981-03-24.

Device and method for (extreme-high-speed) laser cladding

Номер патента: CA3103859A1. Автор: Oliver Schulte. Владелец: Ponticon GmbH. Дата публикации: 2019-12-26.

METHOD FOR FAST SCENE MATCHING

Номер патента: US20120002868A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

GLOVE AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120000005A1. Автор: KISHIHARA Hidetoshi,II Yasuyuki. Владелец: SHOWA GLOVE CO.. Дата публикации: 2012-01-05.

Method for Making Internally Overlapped Conditioners

Номер патента: US20120000045A1. Автор: Anthony William M.,Anthony David,Anthony Anthony. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING PASTE-TYPE ELECTRODE OF LEAD-ACID BATTERY AND APPARATUS THEREFOR

Номер патента: US20120000070A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Excavating Device for Excavating Ground Under Water, and Method for Excavating Ground

Номер патента: US20120000098A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Operating a Motor-Drive Device for a Home Automation Installation Comprising a Shutter Provided with Two Leaves

Номер патента: US20120000133A1. Автор: Rohee Clément. Владелец: Somfy SAS. Дата публикации: 2012-01-05.

Machine and Method for Installing Curved Hardwood Flooring

Номер патента: US20120000159A1. Автор: Young Julius. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DETECTING ABNORMALITY IN REDUCING AGENT

Номер патента: US20120000185A1. Автор: Narita Hironori. Владелец: HINO MOTORS ,LTD.. Дата публикации: 2012-01-05.

Device and Method for Controlling Compressor of Vehicles

Номер патента: US20120000210A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR CONTROLLING COMPRESSOR OF VEHICLES

Номер патента: US20120000211A1. Автор: Kwon Choon Gyu,KIM Jae Woong,LEE Chang Won. Владелец: . Дата публикации: 2012-01-05.

Adsorption Cooling System And Adsorption Cooling Method For An Aircraft

Номер патента: US20120000220A1. Автор: Altay Mehmet. Владелец: AIRBUS OPERATIONS GMBH. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING OPTICAL FIBER PREFORM

Номер патента: US20120000249A1. Автор: HAMADA Takahiro. Владелец: FUJIKURA LTD.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING AMINO ACID LIQUID FERTILIZER USING LIVESTOCK BLOOD AND AMINO ACID LIQUID FERTILIZER MANUFACTURED THEREBY

Номер патента: US20120000260A1. Автор: Oh Jin Yeol. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PROVIDING AT LEAST ONE WORK ROLL FOR ROLLING ROLLING STOCK

Номер патента: US20120000263A1. Автор: . Владелец: SMS SIEMAG AKTIENGESELLSCHAFT. Дата публикации: 2012-01-05.

AUTOMATIC HEAT TREATMENT METHOD FOR METAL RING

Номер патента: US20120000265A1. Автор: Watabe Yoshiharu,Saruyama Masaomi,Tsuyuzaki Hiroyuki. Владелец: HONDA MOTOR CO., LTD.. Дата публикации: 2012-01-05.

EXPANSION TOOL AND METHOD FOR COLD EXPANSION OF HOLES

Номер патента: US20120000266A1. Автор: Wölcken Piet,Reese Eggert D.,Jones Timothy G.B.. Владелец: AIRBUS OPERATIONS SAS. Дата публикации: 2012-01-05.

METHOD FOR DETECTING ABNORMALITY IN REDUCING AGENT

Номер патента: US20120000270A1. Автор: Narita Hironori. Владелец: HINO MOTORS, LTD.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ISOLATING A VIEWPORT

Номер патента: US20120000301A1. Автор: LITTLE Edwin Jackson,PAVOL Mark J.. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

COMPOSITE GEAR BLANK AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120000307A1. Автор: Oolderink Rob,Nizzoli Ermanno,Vandenbruaene Hendrik. Владелец: QUADRANT EPP AG. Дата публикации: 2012-01-05.

ACCESSORIES FOR A BICYCLE AND THE LIKE, AND METHOD FOR ACCESSING ARTICLES FROM A MOVING BICYCLE AND THE LIKE

Номер патента: US20120000313A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

APPARATUSES AND METHODS FOR CUTTING POROUS SUBSTRATES

Номер патента: US20120000330A1. Автор: Griffin Weston Blaine. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR SEPARATING SURFACE LAYERS IN PRODUCTS OF THE FOOD INDUSTRY

Номер патента: US20120000331A9. Автор: Grabau Thomas. Владелец: NORDISCHER MASCHINENBAU RUD. BAADER GMBH + CO. KG. Дата публикации: 2012-01-05.

Apparatus and Method for Biogas Purification

Номер патента: US20120000357A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Composition and method for dust suppression wetting agent

Номер патента: US20120000361A1. Автор: Weatherman Greg,Cash Marcia. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING METAL THIN FILM

Номер патента: US20120000382A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

SUSPENSION OF CELLULOSE FIBERS AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120000392A1. Автор: Isogai Akira,Mukai Kenta,Kumamoto Yoshiaki. Владелец: . Дата публикации: 2012-01-05.

Tricalcium Phosphate Coarse Particle Compositions and Methods for Making the Same

Номер патента: US20120000394A1. Автор: Delaney David C.,Jalota Sahil,Yetkinler Duran N.. Владелец: . Дата публикации: 2012-01-05.

Large Area Nitride Crystal and Method for Making It

Номер патента: US20120000415A1. Автор: Speck James S.,"DEvelyn Mark P.". Владелец: Soraa, Inc.. Дата публикации: 2012-01-05.

MILKING PARLOUR AND METHOD FOR OPERATING THE SAME

Номер патента: US20120000427A1. Автор: . Владелец: DELAVAL HOLDING AB. Дата публикации: 2012-01-05.

APPARATUS AND METHODS FOR ADMINISTRATION OF POSITIVE AIRWAY PRESSURE THERAPIES

Номер патента: US20120000463A1. Автор: Bordewick Steven S.,Bowman Bruce,Baser Joseph A.. Владелец: SOMNETICS GLOBAL PTE. LTD.. Дата публикации: 2012-01-05.

METHODS FOR ENHANCED PROCESSING CHAMBER CLEANING

Номер патента: US20120000490A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

Solar Cell And Method For Manufacturing Solar Cell

Номер патента: US20120000512A1. Автор: HASHIMOTO Masanori,SAITO Kazuya,SHIMIZU Miho. Владелец: . Дата публикации: 2012-01-05.

TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME

Номер патента: US20120000519A1. Автор: FREY Jonathan Mack. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

SYSTEM AND ASSOCIATED METHOD FOR PREVENTING OVERFILLING IN A DISHWASHER

Номер патента: US20120000535A1. Автор: Poyner Dennis A.,Mitchell Glen,Duckworth Jason,DeFilippi John,Francisco Virgil J.. Владелец: . Дата публикации: 2012-01-05.

Method for Collecting and Storing Rain and Snow and For Irrigating, and Apparatus Therefor

Номер патента: US20120000537A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Systems and Methods for the Collection, Retention, and Redistribution of Rainwater and Methods of Construction of the Same

Номер патента: US20120000546A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

HOLDING DEVICES AND METHODS FOR USING THE SAME

Номер патента: US20120000570A1. Автор: Foscarota Valentino. Владелец: . Дата публикации: 2012-01-05.

HOLDING DEVICES AND METHODS FOR USING THE SAME

Номер патента: US20120000571A1. Автор: Foscarota Valentino. Владелец: . Дата публикации: 2012-01-05.

Method for the beta annealing of a workpiece produced from a Ti alloy

Номер патента: US20120000581A1. Автор: Buscher Markus,Witulski Thomas. Владелец: OTTO FUCHS KG. Дата публикации: 2012-01-05.

Method for Corrosion Protection Treatment

Номер патента: US20120000591A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120000595A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

Device and Method for Fixing a Component in Position on a Component Carrier

Номер патента: US20120000601A1. Автор: Fessler-Knobel Martin,Huttner Roland. Владелец: MTU AERO ENGINES GMBH. Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR STRIPPING A WAFER FROM A CARRIER

Номер патента: US20120000613A1. Автор: Thallner Erich. Владелец: . Дата публикации: 2012-01-05.

ARRANGEMENT, SYSTEM AND METHOD FOR TREATMENT OF CELLULOSE PULP

Номер патента: US20120000619A1. Автор: ENGELFELDT Andreas,Ernerfeldt Bertil,Lindkvist David. Владелец: METSO PAPER, INC.. Дата публикации: 2012-01-05.

METHOD FOR STABILIZATION OF MINE VOIDS USING WASTE MATERIAL AND A BINDING AGENT

Номер патента: US20120000654A1. Автор: Justice Charles Russell. Владелец: . Дата публикации: 2012-01-05.

WELL TEMPLATE AND METHOD FOR USE THEREOF

Номер патента: US20120000665A1. Автор: OMVIK Sigvard. Владелец: AKER SUBSEA AS. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR PRODUCING HYDROCARBONS FROM A WELL

Номер патента: US20120000668A1. Автор: . Владелец: Chevron U.S.A. INC.. Дата публикации: 2012-01-05.

System and Method for Soil Saturation and Digging

Номер патента: US20120000710A1. Автор: Gomez Randy Christopher. Владелец: . Дата публикации: 2012-01-05.

WEIGHING APPARATUS AND METHOD FOR WEIGHING VEHICLES

Номер патента: US20120000715A1. Автор: Saigh Fathi. Владелец: . Дата публикации: 2012-01-05.

BIOLOGICAL GRAFT TRANSFERRING INSTRUMENT AND METHOD FOR TRANSFERRING BIOLOGICAL GRAFT

Номер патента: US20120000745A1. Автор: Nozaki Yusuke. Владелец: TERUMO KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

Methods for Manufacturing a Vacuum Chamber and Components Thereof, and Improved Vacuum Chambers and Components Thereof

Номер патента: US20120000811A1. Автор: . Владелец: Kurt J. Lesker Company. Дата публикации: 2012-01-05.

System and Method for Casualty Treatment and Evacuation in Response to an Emergency Situation

Номер патента: US20120000813A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

HYDROPROCESSING CATALYSTS AND METHODS FOR MAKING THEREOF

Номер патента: US20120000821A1. Автор: Yang Shuwu,Reynolds Bruce Edward,Chabot Julie,Kou Bo. Владелец: CHEVRON CORPORATION. Дата публикации: 2012-01-05.

NANOPOROUS FILMS AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000845A1. Автор: Park Han Oh,Kim Jae Ha,JIN Myung Kuk. Владелец: BIONEER CORPORATION. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR DISINFECTING WATER

Номер патента: US20120000862A1. Автор: Belluati Mario,Colombi Giorgio,Danesi Enrico,Donnini Nicola,Petrucci Giuseppe,Rosellini Massimiliano,Giuseppina Peri. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR REPAIRING GAS TURBINE BLADES AND GAS TURBINE BLADE

Номер патента: US20120000890A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRICALLY EXTENSIVELY HEATABLE, TRANSPARENT OBJECT, METHOD FOR THE PRODUCTION THEREOF, AND USE THEREOF

Номер патента: US20120000896A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Pulsed Operation of a Light Barrier, and a Light Barrier

Номер патента: US20120001062A1. Автор: Ottleben Bernd,Ottleben Petra,Mock Bernward. Владелец: . Дата публикации: 2012-01-05.

APPARATUS AND METHODS FOR USE IN FLASH DETECTION

Номер патента: US20120001071A1. Автор: SNIDER Robin Terry,MCGEE Jeffrey Dykes,PERRY Michael Dale. Владелец: General Atomics. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR EXTRACTION OR ADDITION OF SUBSTANCES FROM OR TO A BODY OF LIQUID

Номер патента: US20120001112A1. Автор: Alkemade Patrick William,Shellcot Brent. Владелец: . Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR MANUFACTURING AN IMAGE SENSOR

Номер патента: US20120001287A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Method for manufacturing thin film capacitor and thin film capacitor obtained by the same

Номер патента: US20120001298A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

DRYING METHOD FOR CERAMIC GREENWARE

Номер патента: US20120001358A1. Автор: "OBrien James J.",Clark Terence J.. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING POLYIMIDE FILM

Номер патента: US20120001367A1. Автор: . Владелец: KANEKA CORPORATION. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING ANISOPTROPIC BULK MATERIALS

Номер патента: US20120001368A1. Автор: Filippov Andrey V.,Milia Charlotte Diane. Владелец: . Дата публикации: 2012-01-05.

Method for Producing a Ceramic Filter Element

Номер патента: US20120001371A1. Автор: Linhart Jochen,Lichtenwalter Kathrin,FISCHER KATHRIN,Otterbach Sabine. Владелец: MANN+HUMMEL GMBH. Дата публикации: 2012-01-05.

Fitting for Thick-Walled Pipes and Method for Its Production

Номер патента: US20120001414A1. Автор: . Владелец: VIEGA GMBH & CO. KG. Дата публикации: 2012-01-05.

POWER DISTRIBUTION DEVICE FOR DISTRIBUTING POWER AND A METHOD FOR THE DISTRIBUTION OF POWER

Номер патента: US20120001481A1. Автор: Thiel Sebastian,Koeppen Carsten. Владелец: AIRBUS OPERATIONS GMBH. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR CONTROLLING AN ENERGY STORAGE PACK

Номер патента: US20120001483A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Failure Detection System and Method for LED Lighting Equipment

Номер патента: US20120001552A1. Автор: WEN Yung-Chuan,TSAI Kun-Cheng. Владелец: INSTITUTE FOR INFORMATION INDUSTRY. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR DETECTING FAULT IN AN AC MACHINE

Номер патента: US20120001580A1. Автор: Lu Bin,Zhang Pinjia,Habetler Thomas G.. Владелец: . Дата публикации: 2012-01-05.

CONTROL APPARATUS AND CONTROL METHOD FOR AC ELECTRIC MOTOR

Номер патента: US20120001581A1. Автор: Maeda Daisuke,Tobari Kazuaki,NOTOHARA Yasuo,SUMITA Satoshi. Владелец: . Дата публикации: 2012-01-05.

Apparatus, System, and Method for Direct Phase Probing and Mapping of Electromagnetic Signals

Номер патента: US20120001656A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Systems and Methods for Minimizing Static Leakage of an Integrated Circuit

Номер патента: US20120001684A1. Автор: Caplan Randy J.,Schwake Steven J.. Владелец: MOSAID TECHNOLOGIES INCORPORATED. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR EXTENDING VCO OUTPUT VOLTAGE SWING

Номер патента: US20120001699A1. Автор: . Владелец: QUINTIC HOLDINGS. Дата публикации: 2012-01-05.

DUST CORE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120001719A1. Автор: Oshima Yasuo,Handa Susumu,Akaiwa Kota. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR OPERATING RFID DEVICES ON SINGLE-USE CONNECTORS

Номер патента: US20120001731A1. Автор: . Владелец: GE HEALTHCARE BIOSCIENCE BIOPROCESS CORP.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR DETECTING RADIATION

Номер патента: US20120001761A1. Автор: Voutilainen Martti,Pasanen Pirjo. Владелец: Nokia Corporation. Дата публикации: 2012-01-05.

COMPUTER BASED SYSTEM AND METHOD FOR PROVIDING A DRIVER ASSIST INFORMATION

Номер патента: US20120001771A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR COMPRESSING DATA AND CONTROLLING DATA COMPRESSION IN BOREHOLE COMMUNICATION

Номер патента: US20120001776A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR DIGITIZING A SIGNAL

Номер патента: US20120001783A1. Автор: Eklund Jan-Erik,Rosenbaum Linnéa. Владелец: . Дата публикации: 2012-01-05.

Multiband Antenna and Method for an Antenna to be Capable of Multiband Operation

Номер патента: US20120001815A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Remote Control Systems and Methods for Activating Buttons of Digital Electronic Display Devices

Номер патента: US20120001844A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and Method for Virtual Touch Sensing

Номер патента: US20120001845A1. Автор: LEE Chi Ching. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR DISTINGUISHING INPUT OBJECTS

Номер патента: US20120001855A1. Автор: TRENT,JR. Raymond Alexander,Palsan Carmen. Владелец: SYNAPTICS INCORPORATED. Дата публикации: 2012-01-05.

DETECTION SYSTEM AND METHOD FOR DETECTING MOVEMENTS OF A MOVABLE OBJECT

Номер патента: US20120001860A1. Автор: Phan Le Kim. Владелец: NXP B.V.. Дата публикации: 2012-01-05.

METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001878A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR SWITCHING TWO-DIMENSIONAL (2D) AND THREE-DIMENSIONAL (3D) DISPLAY MODES

Номер патента: US20120001899A1. Автор: HONG XU. Владелец: . Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR PROVIDING 3D AUGMENTED REALITY

Номер патента: US20120001901A1. Автор: PARK Sun-Hyung. Владелец: Pantech Co., Ltd.. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR DISPLAYING FIXED-SCALE CONTENT ON MOBILE DEVICES

Номер патента: US20120001914A1. Автор: Pan Wayne,HAMOUI Omar. Владелец: GOOGLE INC.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR CREATING, MANAGING, SHARING AND DISPLAYING PERSONALIZED FONTS ON A CLIENT-SERVER ARCHITECTURE

Номер патента: US20120001921A1. Автор: Escher Marc,Hoffman Franz. Владелец: . Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR ASSISTING VISUALLY-IMPAIRED USERS TO VIEW VISUAL CONTENT

Номер патента: US20120001932A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001946A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001953A1. Автор: Yamazaki Shunpei,Hirakata Yoshiharu. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

IMAGE FORMING APPARATUS AND METHOD FOR MAINTAINING HEAD

Номер патента: US20120001976A1. Автор: . Владелец: RICOH COMPANY, LTD.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR CHANGING A CAMERA CONFIGURATION IN RESPONSE TO SWITCHING BETWEEN MODES OF OPERATION

Номер патента: US20120001999A1. Автор: . Владелец: TANDBERG TELECOM AS. Дата публикации: 2012-01-05.

METHOD FOR DETECTING A VIEWING APPARATUS

Номер патента: US20120002025A1. Автор: Bedingfield,SR. James Carlton. Владелец: AT&T Intellectual Property I, L. P.. Дата публикации: 2012-01-05.

MICROSCOPE AND METHOD FOR THE MICROSCOPIC DETECTION OF LIGHT OF A SAMPLE

Номер патента: US20120002030A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MULTI-SPECTRAL SYSTEM AND METHOD FOR GENERATING MULTI-DIMENSIONAL TEMPERATURE DATA

Номер патента: US20120002035A1. Автор: Nirmalan Nirm Velumylum,Li Hejie. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-01-05.

System and Method for Ground Material Characterization in a Grinding System

Номер патента: US20120002037A1. Автор: Dübendorfer Urs,Heine Martin,Pierri Dario. Владелец: Buhler AG. Дата публикации: 2012-01-05.

METHOD FOR ADJUSTING PHOTOSENSITIVENESS OF DIGITAL CAMERA

Номер патента: US20120002081A1. Автор: Chou Chan Min,Lu Tsung Pin. Владелец: ALTEK CORPORATION. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR USING PARTIAL INTERPOLATION TO UNDERTAKE 3D GAMMA ADJUSTMENT OF MICRODISPLAY HAVING DYNAMIC IRIS CONTROL

Номер патента: US20120002114A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY DEVICE, AND METHOD FOR MANUFACTURING DISPLAY DEVICE

Номер патента: US20120002128A1. Автор: NAKAGAWA Hidetoshi. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

OPTICAL IMAGE ACQUISITION APPARATUS HAVING ADAPTIVE OPTICS AND CONTROL METHOD FOR THE SAME

Номер патента: US20120002165A1. Автор: Saito Kenichi. Владелец: CANON KABUSHIKI KAISH. Дата публикации: 2012-01-05.

Apparatus, System, and Method for Increasing Measurement Accuracy in a Particle Imaging Device

Номер патента: US20120002194A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Means and Methods for Rapid Droplet, Aerosols and Swab Infection Analysis

Номер патента: US20120002199A1. Автор: Ben-David Moshe,Eruv Tomer,Gannot Gallya. Владелец: OPTICUL DIAGNOSTICS LTD.. Дата публикации: 2012-01-05.

Method for Determining an Analyte in a Sample

Номер патента: US20120002207A1. Автор: Lagae Liesbet,De Vlaminck Iwijn,Van Dorpe Pol. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR ACQUIRING DOCUMENT SERVICES

Номер патента: US20120002241A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and Method for Storing and Retrieving Digital Content with Physical File Systems

Номер патента: US20120002244A1. Автор: ROTHSCHILD LEIGH M.. Владелец: . Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

MAGNETIC DISK DRIVE AND REFRESH METHOD FOR THE SAME

Номер патента: US20120002315A1. Автор: Inoue Hiroaki. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Method for current conditioning, in particular on a fault current limiter

Номер патента: US20120002336A1. Автор: Usoskin Alexander,Klein Hans-Udo. Владелец: . Дата публикации: 2012-01-05.

DISPLAY DEVICE FOR A VEHICLE AND METHOD FOR PRODUCING THE DISPLAY DEVICE

Номер патента: US20120002442A1. Автор: . Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2012-01-05.

NONVOLATILE MEMORY APPARATUS AND METHOD FOR PROCESSING CONFIGURATION INFORMATION THEREOF

Номер патента: US20120002486A1. Автор: . Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

NONVOLATILE MEMORY APPARATUS AND METHOD FOR PROCESSING CONFIGURATION INFORMATION THEREOF

Номер патента: US20120002487A1. Автор: . Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Methods for gathering marine geophysical data

Номер патента: US20120002502A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR DETERMINING DIFFERENCE IN DISTANCE

Номер патента: US20120002507A1. Автор: Skjold-Larsen Henning. Владелец: . Дата публикации: 2012-01-05.

Adjusting Method For Recording Condition And Optical Disc Device

Номер патента: US20120002527A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR QOS AUTHORIZATION

Номер патента: US20120002540A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR DETECTING TRANSMISSION POWER OF TERMINAL HAVING HETEROGENEROUS MODEM CHIPS

Номер патента: US20120002559A1. Автор: KIM Pilsang. Владелец: . Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR SELECTING AP IN CONSIDERATION OF NETWORK PERFORMANCE

Номер патента: US20120002560A1. Автор: . Владелец: Electronics and Telecommunications Research Institute. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR PROVIDING MOBILITY MANAGEMENT AND OUT-OF-COVERAGE INDICATION IN A CONVENTIONAL LAND MOBILE RADIO SYSTEM

Номер патента: US20120002588A1. Автор: . Владелец: E.F. Johnson Company. Дата публикации: 2012-01-05.

METHOD FOR TRANSMITTING CONTROL SIGNAL TO RELAY NODE AT BASE STATION IN MIMO WIRELESS COMMUNICATION SYSTEM AND APPARATUS THEREFOR

Номер патента: US20120002596A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM FOR DATA COMMUNICATIONS, ROUTER, AND METHOD FOR DATA TRANSMISSION AND MOBILITY MANAGEMENT

Номер патента: US20120002600A1. Автор: ZHANG Gong,He Cheng,Xiang Yanping. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR SWITCHING MOBILE STATION IDENTIFICATION IN WIRELESS COMMUNICATION SYSTEM

Номер патента: US20120002604A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO. LTD.. Дата публикации: 2012-01-05.

METHOD FOR COMMUNICATING IN A MIMO NETWORK

Номер патента: US20120002612A1. Автор: Baker Matthew Peter John,Moulsley Timothy James,Tesanovic Milos. Владелец: . Дата публикации: 2012-01-05.

System and Method for Controlling Communications in an AD HOC Mobile Network

Номер патента: US20120002615A1. Автор: . Владелец: THALES. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR HANDOFF BETWEEN DIFFERENT TYPES OF NETWORKS

Номер патента: US20120002638A1. Автор: Huh Jun. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR TRANSMITTING A SIGNAL AND COMMUNICATIONS APPARATUS

Номер патента: US20120002660A1. Автор: Lv Yongxia,HOU Yunzhe,Wan Lei,Ren Xiaotao. Владелец: Huawei Technologies Co., Ltd.. Дата публикации: 2012-01-05.

PROVIDING A BUFFERLESS TRANSPORT METHOD FOR MULTI-DIMENSIONAL MESH TOPOLOGY

Номер патента: US20120002675A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR PROVIDING A SAFETY MECHANISM FOR A LASER-EMITTING DEVICE

Номер патента: US20120002691A1. Автор: TAO XIAO WEI. Владелец: . Дата публикации: 2012-01-05.

NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120002693A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR INDOOR POSITIONING

Номер патента: US20120002702A1. Автор: . Владелец: TENSORCOM INC.. Дата публикации: 2012-01-05.

VIDEO ENCODER WITH NON-SYNTAX REUSE AND METHOD FOR USE THEREWITH

Номер патента: US20120002719A1. Автор: Wang Limin,Zhao Xu Gang. Владелец: VIXS SYSTEMS, INC.. Дата публикации: 2012-01-05.

VIDEO ENCODER WITH VIDEO DECODER REUSE AND METHOD FOR USE THEREWITH

Номер патента: US20120002720A1. Автор: . Владелец: VIXS SYSTEMS, INC.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR TRANSCODING BETWEEN SCALABLE AND NON-SCALABLE VIDEO CODECS

Номер патента: US20120002728A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PERFORMING CONTENT SYNCHRONIZATION FOR DOWNLINK SERVICE DATA IN COLLABORATIVE MIMO AND APPARATUS THEREOF

Номер патента: US20120002741A1. Автор: Wang He,Hu Zhongji,Zhang Bijun. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR THE COOPERATION AMONG BASE STATIONS

Номер патента: US20120002745A1. Автор: Ko Eun Seok,Kim Eun Yong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

Method for Encoding Data Symbols with Implicitly Embedded Pilot Symbols in Resource Blocks for Wireless Networks

Номер патента: US20120002753A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DEMODULATION METHOD FOR MIMO SYSTEMS

Номер патента: US20120002759A1. Автор: Ng Boon Loong,Lin Tao,SIVAHUMARAN Thirukkumaran. Владелец: NEC Corporation. Дата публикации: 2012-01-05.

ASSEMBLY AND METHOD FOR DETECTING AND MEASURING THE FOULING RATEOF FLOW HOLES IN A SECONDARY CIRCUIT OF A PRESSURIZED WATER NUCLEAR REACTOR

Номер патента: US20120002775A1. Автор: . Владелец: AREVA NP. Дата публикации: 2012-01-05.

Method for determining the quantitative composition of a powder sample

Номер патента: US20120002787A1. Автор: Kern Arnt. Владелец: Bruker AXS GmbH. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR VOICEMAIL TO TEXT CONVERSION

Номер патента: US20120002794A1. Автор: Zubas Michael,Jackson Jacqueline. Владелец: AT&T Mobility II LLC.. Дата публикации: 2012-01-05.

Interleaving and deinterleaving method for preventing periodic position interference

Номер патента: US20120002808A1. Автор: Wang Ruixun. Владелец: . Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR DETECTING MOVEMENT OF OBJECT

Номер патента: US20120002842A1. Автор: MURASHITA Kimitaka,WATANABE Yuri,Fujimura Koichi. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR ONLINE IDENTITY VERIFICATION

Номер патента: US20120002847A1. Автор: Geosimonian Armen. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR PROCESSING DATA SIGNALS

Номер патента: US20120002854A1. Автор: Hardy Christopher Judson,Khare Kedar Bhalchandra,King Kevin Franklin,Marinelli Luca. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR GENERATING DEPTH SIGNAL

Номер патента: US20120002862A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PERFORMING AUTOMATIC CLASSIFICATION OF IMAGE INFORMATION

Номер патента: US20120002865A1. Автор: . Владелец: CHOROS COGNITION AB. Дата публикации: 2012-01-05.

System and method for detection of multi-view/multi-pose objects

Номер патента: US20120002869A1. Автор: Kumar Rakesh,Han Feng,SAWHNEY HARPREET SINGH,Shan Ying. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PROVIDING REAL-TIME SERVICE OF HUGE AND HIGH QUALITY DIGITAL IMAGE ON INTERNET

Номер патента: US20120002893A1. Автор: KIM Sung-Min. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR REDUCING NOISE IN AN IMAGE

Номер патента: US20120002896A1. Автор: Kim Yeong-Taeg,Lertrattanapanich Surapong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

DEVICE AND METHOD FOR SPLICING OPTICAL FIBERS, AND USE OF AN END PIECE IN A DEVICE FOR SPLICING

Номер патента: US20120002929A1. Автор: . Владелец: DIAMOND SA. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR DECODING DATA FOR PROVIDING BROWSABLE SLIDE SHOW, AND DATA STORAGE MEDIUM THEREFOR

Номер патента: US20120002942A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR CHANNEL-ADAPTIVE ERROR-RESILIENT BURST MODE TRANSMISSION

Номер патента: US20120002961A1. Автор: de Lind van Wijngaarden Adriaan J.. Владелец: Alcatel-Lucent USA Inc.. Дата публикации: 2012-01-05.

METHOD FOR DATA TRANSMISSION IN AN OPTICAL TRANSPORT NETWORK

Номер патента: US20120002965A1. Автор: Bellato Alberto,Grandi Pietro Vittorio,Gumier Matteo,Belotti Sergio,Trowbridge Stephen John. Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHODS FOR QUANTUM KEY DISTRIBUTION OVER WDM LINKS

Номер патента: US20120002968A1. Автор: LUO Yuhui,Chan Kam Tai. Владелец: The Chinese University of Hong Kong. Дата публикации: 2012-01-05.

FILLET WELD JOINT AND METHOD FOR GAS SHIELDED ARC WELDING

Номер патента: US20120003035A1. Автор: Suzuki Reiichi,Kinefuchi Masao,KASAI RYU. Владелец: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.). Дата публикации: 2012-01-05.

DEVICES AND METHOD FOR EQUIPMENT FOUNDATION IN THE SEA BED

Номер патента: US20120003048A1. Автор: Hosøy Øyvind,Lien Eldar. Владелец: . Дата публикации: 2012-01-05.

TAP WITH DRILL AND METHOD FOR CUTTING INTERNAL THREAD

Номер патента: US20120003054A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MACHINE TOOL AND METHOD FOR PRODUCING GEARING

Номер патента: US20120003058A1. Автор: Hummel Erhard,Hutter Wolfgang. Владелец: . Дата публикации: 2012-01-05.

LOADLOCK DESIGNS AND METHODS FOR USING SAME

Номер патента: US20120003063A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CASTING METHOD FOR MANUFACTURING A WORK PIECE

Номер патента: US20120003101A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING POWER STORAGE DEVICE

Номер патента: US20120003139A1. Автор: Kawakami Takahiro,Miwa Takuya. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR IMPROVING GYPSUM/PHOSPHORIC ACID SLURRY FILTRATION USING CARBOXYMETHYL CELLULOSE

Номер патента: US20120003140A1. Автор: Irwin Louis. Владелец: ARR-MAZ CUSTOM CHEMICALS, INC.. Дата публикации: 2012-01-05.

METHOD FOR EARLY IMAGING OF ATHEROSCLEROSIS

Номер патента: US20120003151A1. Автор: . Владелец: PURDUE RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

METHOD FOR DEDIFFERENTIATING ADIPOSE TISSUE STROMAL CELLS

Номер патента: US20120003186A1. Автор: . Владелец: SNU R&DB FOUNDATION. Дата публикации: 2012-01-05.

Compositions and Methods for Treating S. Pneumoniae Infection

Номер патента: US20120003203A1. Автор: Mizrachi-Nebenzahl Yaffa,Dagan Ron. Владелец: . Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR MODULATING VASCULAR DEVELOPMENT

Номер патента: US20120003208A1. Автор: Ye Weilan,Parker,Schmidt Maike,Filvaroff Ellen,IV Leon H.,Hongo Jo-Anne S.. Владелец: Genentech, Inc.. Дата публикации: 2012-01-05.

METHODS FOR TREATING OR PREVENTING IL-1BETA RELATED DISEASES

Номер патента: US20120003226A1. Автор: Scannon Patrick J.,Solinger Alan M.,Bauer Robert J.. Владелец: XOMA TECHNOLOGY LTD.. Дата публикации: 2012-01-05.

METHODS FOR PREDICTING AUTOIMMUNE DISEASE RISK

Номер патента: US20120003228A1. Автор: Smith Ken,Lyons Paul,McKinney Eoin. Владелец: Cambridge Enterprise Limited. Дата публикации: 2012-01-05.

METHODS FOR APCDD1 MEDIATED REGULATION OF HAIR GROWTH AND PIGMENTATION AND MUTANTS THEREOF

Номер патента: US20120003244A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Novel composition and methods for the treatment of psoriasis

Номер патента: US20120003246A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Compositions and Methods for the Treatment of Ophthalmic Disease

Номер патента: US20120003275A1. Автор: Donello John E.,Schweighoffer Fabien J.,Rodrigues Gerard A.,McLaughlin Anne P.,Mahé Florence. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR REDUCING PAIN

Номер патента: US20120003290A1. Автор: Ellis David J.,Miljanich George P.,Shields David E.. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR INDUCING AN IMMUNE RESPONSE

Номер патента: US20120003298A1. Автор: Maj Roberto,Pattarino Franco,Mura Emanuela,Barberis Alcide. Владелец: . Дата публикации: 2012-01-05.

TISSUE MARKINGS AND METHODS FOR REVERSIBLY MARKING TISSUE EMPLOYING THE SAME

Номер патента: US20120003301A1. Автор: Agrawal Satish,Boggs Roger. Владелец: PERFORMANCE INDICATOR LLC. Дата публикации: 2012-01-05.

Compositions and Methods for Stimulation MAGP-1 to Improve the Appearance of Skin

Номер патента: US20120003332A1. Автор: Lyga John W.,Zheng Qian,Chen Siming W.,Santhanam Uma. Владелец: AVON PRODUCTS, INC.. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR CONTROLLING BLOOD GLUCOSE LEVELS

Номер патента: US20120003339A1. Автор: MINACAPELLI Pompeo. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING A SOLUBLE COCOA PRODUCT FROM COCOA POWDER

Номер патента: US20120003355A1. Автор: . Владелец: BARRY CALLEBAUT AG. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ATOMIC LAYER DEPOSITION

Номер патента: US20120003396A1. Автор: . Владелец: Nederlandse Organisatie voor toegepast-natuurweten schappelijk onderzoek TNO. Дата публикации: 2012-01-05.

BELTS FOR ELECTROSTATOGRAPHIC APPARATUS AND METHODS FOR MAKING THE SAME

Номер патента: US20120003415A1. Автор: FROMM Paul M.. Владелец: XEROX CORPORATION. Дата публикации: 2012-01-05.

DECORATION DEVICE AND METHOD FOR FABRICATING DECORATION DEVICE

Номер патента: US20120003426A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003433A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE

Номер патента: US20120003441A1. Автор: CHEN CHIA-FU. Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

NITRIDE CRYSTAL AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120003446A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

FLAME-RETARDANT POLY LACTIC ACID-CONTAINING FILM OR SHEET, AND METHOD FOR MANUFACTURING THEREOF

Номер патента: US20120003459A1. Автор: . Владелец: NITTO DENKO CORPORATION. Дата публикации: 2012-01-05.

Stretched Thermoplastic Resin for Gluing Metal Parts to Plastics, Glass and Metals, and Method for the Production Thereof

Номер патента: US20120003468A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR REDUCING VOLATILE ORGANIC COMPOUNDS IN COMPOSITE RESIN PARTICLES, AND COMPOSITE RESIN PARTICLES

Номер патента: US20120003478A1. Автор: . Владелец: SEKISUI PLASTICS CO., LTD.. Дата публикации: 2012-01-05.

Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films

Номер патента: US20120003481A1. Автор: Hanson Eric L.. Владелец: Aculon, Inc.. Дата публикации: 2012-01-05.

HOLLOW MEMBER AND AN APPARATUS AND METHOD FOR ITS MANUFACTURE

Номер патента: US20120003496A1. Автор: Tomizawa Atsushi,Kubota Hiroaki. Владелец: Sumitomo Metal Industries, Ltd.. Дата публикации: 2012-01-05.

ELECTRODE MATERIAL AND METHOD FOR FORMING ELECTRODE MATERIAL

Номер патента: US20120003529A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ENERGY STORAGE DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003535A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, CAPACITOR, BATTERY, AND METHOD FOR MANUFACTURING ELECTRODE STRUCTURE

Номер патента: US20120003544A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Anti-Corrosion Treatment

Номер патента: US20120003598A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR ADJUSTING TIDAL VOLUME OF A SELF-VENTILATION SUBJECT

Номер патента: US20120003620A1. Автор: . Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2012-01-05.

METHOD FOR INTERACTIVE LEARNING AND TRAINING

Номер патента: US20120003622A1. Автор: . Владелец: DRANE ASSOCIATES, LP. Дата публикации: 2012-01-05.

Methods for Diagnosing Pervasive Development Disorders, Dysautonomia and Other Neurological Conditions

Номер патента: US20120003628A1. Автор: Fallon Joan M.. Владелец: Curemark LLC. Дата публикации: 2012-01-05.

MEANS AND METHODS FOR INVESTIGATING NUCLEIC ACID SEQUENCES

Номер патента: US20120003633A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR ASSESSING RISK OF ALZHEIMER'S DISEASE IN A PATIENT

Номер патента: US20120003649A1. Автор: Atwood Craig S.. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

COMPOSITIONS AND METHODS FOR DETECTING MUTATIONS IN JAK2 NUCLEIC ACID

Номер патента: US20120003653A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR EVALUATING PRE-TREATMENT

Номер патента: US20120003664A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHODS FOR ANTIBODY ENGINEERING

Номер патента: US20120003671A1. Автор: Yu Guo-Liang,Couto Fernando Jose Rebelo do,Hendricks Kristin B.,Wallace S. Ellen. Владелец: . Дата публикации: 2012-01-05.

Method for Preparing Small Volume Reaction Containers

Номер патента: US20120003675A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SENSOR MODULE, TISSUE PROCESSOR, AND METHOD FOR OPERATING A TISSUE PROCESSOR

Номер патента: US20120003679A1. Автор: HABERKORN Claus. Владелец: LEICA BIOSYSTEMS NUSSLOCH GMBH. Дата публикации: 2012-01-05.

EX VIVO METHOD FOR DETERMINING POTENTIAL GLP-2 RECEPTOR MODULATIONS

Номер патента: US20120003681A1. Автор: . Владелец: NPS PHARMACEUTICALS, INC.. Дата публикации: 2012-01-05.

METHODS FOR COUNTING CELLS

Номер патента: US20120003687A1. Автор: Liu Yi-Shao,Toner Mehmet,Bashir Rashid,Cheng Xuanhong,Irimia Daniel,Zamir Lee,Demirci Utkan,Rodriguez William R.,Yang Liju. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PREPARING A SITE-SPECIFIC PHYSIOLOGICALLY ACTIVE POLYPEPTIDE CONJUGATE

Номер патента: US20120003712A1. Автор: . Владелец: HANMI HOLDINGS CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR MAKING LACTIC ACID BACTERIA COMPOSITION

Номер патента: US20120003721A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ANALYZER AND METHOD FOR WASHING DISPENSER PROBE

Номер патента: US20120003731A1. Автор: . Владелец: Beckman Coulter, Inc.. Дата публикации: 2012-01-05.

Methods for Improving Viability and Productivity in Cell Culture

Номер патента: US20120003735A1. Автор: Dorai Haimanti,Ly Celia,Sauerwald McClain Tina M.. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR CULTURING UNDIFFERENTIATED CELLS USING SUSTAINED RELEASE COMPOSITIONS

Номер патента: US20120003736A1. Автор: . Владелец: REGENERATIVE RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

Method For Amplification And Functional Enhancment Of Blood Derived Progenitor Cells Using A Closed Culture System

Номер патента: US20120003738A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

Method for Producing Thermoelectric Module

Номер патента: US20120003771A1. Автор: Uchiyama Naoki,Nishio Toshiyuki,Kubo Kazuya,Mikami Masashi,Kobayashi Keizo. Владелец: . Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

MANUFACTURING METHOD FOR SOLID-STATE IMAGING DEVICE

Номер патента: US20120003778A1. Автор: OOTAKE Hajime. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.