FinFET Device With High-K Metal Gate Stack

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

FinFET Device With High-K Metal Gate Stack

Номер патента: US20180350992A1. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-12-06.

FinFET Device With High-K Metal Gate Stack

Номер патента: US20210202743A1. Автор: Wu Zhiqiang,CHANG Chih-Sheng,Chiang Kuo-Cheng,Fung Ka-Hing. Владелец: . Дата публикации: 2021-07-01.

FinFET Device with High-K Metal Gate Stack

Номер патента: US20150303305A1. Автор: Wu Zhiqiang,CHANG Chih-Sheng,Ching Kuo-Cheng,Fung Ka-Hing. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2015-10-22.

Ldmos with high-k drain sti dielectric

Номер патента: US20200212188A1. Автор: Alexei Sadovnikov,Umamaheswari Aghoram,Pushpa Mahalingam,Eugene C Davis. Владелец: Texas Instruments Inc. Дата публикации: 2020-07-02.

LDMOS WITH HIGH-K DRAIN STI DIELECTRIC

Номер патента: US20200212188A1. Автор: Sadovnikov Alexei,Mahalingam Pushpa,Aghoram Umamaheswari,Davis Eugene C. Владелец: . Дата публикации: 2020-07-02.

Structure and method for nFET with high k metal gate

Номер патента: US09947528B2. Автор: Ming Zhu,Chi-Wen Liu,Jin-Aun Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-17.

SEMICONDUCTOR STRUCTURE, MANUFACTURING METHOD THEREFOR, AND HIGH-K METAL GATE FIN FIELD-EFFECT TRANSISTOR

Номер патента: US20190035924A1. Автор: He Xin. Владелец: . Дата публикации: 2019-01-31.

SEMICONDUCTOR STRUCTURE, MANUFACTURING METHOD THEREFOR, AND HIGH-K METAL GATE FIN FIELD-EFFECT TRANSISTOR

Номер патента: US20200105921A1. Автор: He Xin. Владелец: . Дата публикации: 2020-04-02.

Method for manufacturing a high-voltage FinFET device having LDMOS structure

Номер патента: US10103248B2. Автор: Te-Chih Chen,Tai-Ju Chen,Yi-Han Ye. Владелец: United Microelectronics Corp. Дата публикации: 2018-10-16.

Method for manufacturing a high-voltage finfet device having ldmos structure

Номер патента: US20170207322A1. Автор: Te-Chih Chen,Tai-Ju Chen,Yi-Han Ye. Владелец: United Microelectronics Corp. Дата публикации: 2017-07-20.

High-voltage FinFET device having LDMOS structure and method for manufacturing the same

Номер патента: US09640663B2. Автор: Te-Chih Chen,Tai-Ju Chen,Yi-Han Ye. Владелец: United Microelectronics Corp. Дата публикации: 2017-05-02.

FinFET Device and Method of Manufacture

Номер патента: US20200350417A1. Автор: Hsiang-Wei Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-05.

Semiconductor Devices with Air Gaps and the Method Thereof

Номер патента: US20240379813A1. Автор: Chang-Miao Liu,Ming-Lung Cheng,Ko-Cheng Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Cut Metal Gate Processes

Номер патента: US20200350172A1. Автор: Ryan Chia-Jen Chen,An Chyi Wei,Shu-Yuan Ku,Shu-Uei JANG,Ya-Yi Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-05.

Cut metal gate processes

Номер патента: US11990341B2. Автор: Ryan Chia-Jen Chen,An Chyi Wei,Shu-Yuan Ku,Shu-Uei JANG,Ya-Yi Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-21.

FinFET devices

Номер патента: US09685507B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

FinFET devices

Номер патента: US09613869B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-04-04.

FinFET device and method of forming

Номер патента: US09837539B1. Автор: Yi-Wei Chiu,Xi-Zong Chen,Cha-Hsin Chao,Te-Chih Hsiung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-05.

Finfet devices

Номер патента: US20240038594A1. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: Tessera LLC. Дата публикации: 2024-02-01.

FinFET Device and Method of Forming

Номер патента: US20200357913A1. Автор: Yi-Wei Chiu,Xi-Zong Chen,Cha-Hsin Chao,Te-Chih Hsiung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-12.

FinFET Device and Method of Forming

Номер патента: US20210193832A1. Автор: Yi-Wei Chiu,Xi-Zong Chen,Cha-Hsin Chao,Te-Chih Hsiung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-24.

Silicide layers in contacts for high-k/metal gate transistors

Номер патента: EP1972004A2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2008-09-24.

Structure and method for nfet with high k metal gate

Номер патента: US20130270647A1. Автор: Ming Zhu,Chi-Wen Liu,Jin-Aun Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-17.

SELF ALIGNED STRUCTURE AND METHOD FOR HIGH-K METAL GATE WORK FUNCTION TUNING

Номер патента: US20150318284A1. Автор: Doris Bruce B.,Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali. Владелец: . Дата публикации: 2015-11-05.

Scaling EOT by eliminating interfacial layers from high-K/metal gates of MOS devices

Номер патента: US09478637B2. Автор: Jeffrey Junhao XU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-25.

Superior integrity of high-k metal gate stacks by capping sti regions

Номер патента: SG183635A1. Автор: Baars Peter,Scheiper Thilo,Beyer Sven. Владелец: Globalfoundries Dresden Mod 1. Дата публикации: 2012-09-27.

Structure and formation method of finFET device

Номер патента: US09761723B2. Автор: Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Mechanism for forming metal gate structure

Номер патента: US09941152B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Mechanism for forming metal gate structure

Номер патента: US09564332B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Finfet device and method

Номер патента: US20240021619A1. Автор: Tsai-Jung Ho,Tze-Liang Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Mechanism for forming metal gate structure

Номер патента: US20170148665A1. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-25.

FinFET device and method of forming the same

Номер патента: US09887130B2. Автор: Yi-Chun Lo,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-06.

Silicon-germanium FinFET device with controlled junction

Номер патента: US09922886B2. Автор: Kangguo Cheng,Pouya Hashemi,Alexander Reznicek,Kam-Leung Lee. Владелец: International Business Machines Corp. Дата публикации: 2018-03-20.

Silicon-germanium FinFET device with controlled junction

Номер патента: US09514997B2. Автор: Kangguo Cheng,Pouya Hashemi,Alexander Reznicek,Kam-Leung Lee. Владелец: International Business Machines Corp. Дата публикации: 2016-12-06.

Finfet device having a high germanium content fin structure and method of making same

Номер патента: US20160293638A1. Автор: Qing Liu,Bruce Doris,Gauri Karve. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-10-06.

Metal Gate Stack Having TaAlCN Layer

Номер патента: US20190006183A1. Автор: Ting-Chun Wang,Chi-Wen Liu,Chi-Cherng Jeng,Shiu-Ko Jangjian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-01-03.

Metal Gate Stack Having TaAlCN Layer

Номер патента: US20200090938A1. Автор: Ting-Chun Wang,Chi-Wen Liu,Chi-Cherng Jeng,Shiu-Ko Jangjian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-19.

Metal Gate Stack Having TaAlCN Layer

Номер патента: US20160254157A1. Автор: Ting-Chun Wang,Chi-Wen Liu,Chi-Cherng Jeng,Shiu-Ko Jangjian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-01.

Method and Structure for FinFET Device

Номер патента: US20170373066A1. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-28.

Method and Structure for FinFET Device

Номер патента: US20190123050A1. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-25.

Method and Structure for FinFET Device

Номер патента: US20170012046A1. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-12.

Method and structure for FinFET device

Номер патента: US09437683B2. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-06.

Method and Structure for FinFET Device

Номер патента: US20160087041A1. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-03-24.

Method and Structure for FinFET Device

Номер патента: US20200321339A1. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-10-08.

Method and structure for FinFET device

Номер патента: US09761586B2. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Method of forming different voltage devices with high-k metal gate

Номер патента: US09368499B2. Автор: Sung-taeg Kang,Cheong Min Hong,Asanga H. Perera. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-06-14.

Single transistor ferroelectric transistor structure with high-k insulator and method of fabricating same

Номер патента: TW546820B. Автор: Fengyan Zhang,Sheng-Teng Hsu. Владелец: Sharp Kk. Дата публикации: 2003-08-11.

Contact for high-k metal gate device

Номер патента: US09978850B2. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-22.

Contact for high-k metal gate device

Номер патента: US09711605B2. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Contact for high-k metal gate device

Номер патента: US20160293721A1. Автор: Harry-Hak-Lay Chuang,Huan-Just Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-06.

Metal Gates and Manufacturing Methods Thereof

Номер патента: US20200266282A1. Автор: Tsung-Han Tsai,Shih-Hsun Chang,Jen-Hsiang Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-20.

High-K Metal Gate Process and Device

Номер патента: US20200105532A1. Автор: Chun-Chieh Wang,Huai-Tei Yang,Yueh-Ching Pai,Chun-I Wu,Chien-Shun Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-02.

High-K Metal Gate Process and Device

Номер патента: US20200105532A1. Автор: Chun-Chieh Wang,Huai-Tei Yang,Yueh-Ching Pai,Chun-I Wu,Chien-Shun Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-02.

The contact of high-K metal gate device

Номер патента: CN103000572B. Автор: 庄学理,李再春,林焕哲,吴伟成,杨宝如,钟升镇. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-27.

FINFET WITH HIGH-K SPACER AND SELF-ALIGNED CONTACT CAPPING LAYER

Номер патента: US20190259619A1. Автор: Xu Guowei,Zang Hui,Tabakman Keith. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2019-08-22.

Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices

Номер патента: US09741720B1. Автор: Balaji Kannan,Shahab Siddiqui,Siddarth Krishnan. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Dislocation stress memorization technique for FinFET device

Номер патента: US09570587B2. Автор: Sun-Jay Chang,Wen-Cheng Lo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-14.

Finfet device and method for fabricating same

Номер патента: US20170229561A1. Автор: Chih-Hao Wang,Zhiqiang Wu,Kuo-Cheng Ching,Gwan-Sin Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-10.

FinFET device and method for fabricating same

Номер патента: US09634127B2. Автор: Chih-Hao Wang,Zhiqiang Wu,Kuo-Cheng Ching,Gwan Sin Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-25.

FinFET Devices and Methods of Forming

Номер патента: US20210091209A1. Автор: Chia-Cheng Chen,Liang-Yin Chen,Huicheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-03-25.

FinFet device with channel epitaxial region

Номер патента: US09496397B2. Автор: Chi-Wen Liu,Chao-Hsiung Wang,Kuo-Cheng Ching,Zhi-Chang Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-15.

Forming punch-through stopper regions in finFET devices

Номер патента: US09450078B1. Автор: Zhimin Wan,Ger-Pin Lin,Ching-I Li,Daniel Tang. Владелец: Advanced Ion Beam Technology Inc. Дата публикации: 2016-09-20.

Finfet device and method for fabricating same

Номер патента: US20200321459A1. Автор: Chih-Hao Wang,Zhiqiang Wu,Kuo-Cheng Ching,Gwan-Sin Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-10-08.

Structure and method for FinFET device

Номер патента: US09698058B2. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-04.

FinFET Devices and Methods of Forming

Номер патента: US20190123181A1. Автор: Chia-Cheng Chen,Liang-Yin Chen,Huicheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-25.

Structure and method for FinFET device

Номер патента: US9178067B1. Автор: Chih-Sheng Chang,Zhiqiang Wu,Kuo-Cheng Ching,Ka-Hing Fung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-11-03.

Process for formation of isolation trenches with high-K gate dielectrics

Номер патента: US6008095A. Автор: Mark I. Gardner,H. Jim Fulford,Charles E May. Владелец: Advanced Micro Devices Inc. Дата публикации: 1999-12-28.

Method to improve reliability of high-K metal gate stacks

Номер патента: US09634116B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Barry P. Linder. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20230109700A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-04-13.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20210043638A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-11.

High voltage polysilicon gate in high-K metal gate device

Номер патента: US11950413B2. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-02.

Plasma nitrided gate oxide, high-k metal gate based cmos device

Номер патента: WO2008121939A1. Автор: Manuel Quevedo-Lopez,Husam Niman Alshareef. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2008-10-09.

Semiconductor device and finfet device

Номер патента: US20180366585A1. Автор: Kei-Wei Chen,Chun Hsiung Tsai,Chien-Tai CHAN,Ziwei Fang,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-12-20.

Finfet device

Номер патента: US20170323971A1. Автор: Kei-Wei Chen,Chun Hsiung Tsai,Chien-Tai CHAN,Ziwei Fang,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-09.

FinFET device and method of forming the same

Номер патента: US09722081B1. Автор: Kei-Wei Chen,Chun Hsiung Tsai,Chien-Tai CHAN,Ziwei Fang,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-01.

Structure and Method for FinFET Device with Asymmetric Contact

Номер патента: US20200365734A1. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-19.

FinFET device having a high germanium content fin structure and method of making same

Номер патента: US09431514B2. Автор: Qing Liu,Bruce Doris,Gauri Karve. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-08-30.

Selectively deposited spacer film for metal gate sidewall protection

Номер патента: US20170317192A1. Автор: Tsai-Jung Ho,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-02.

Selectively deposited spacer film for metal gate sidewall protection

Номер патента: US09865709B2. Автор: Tsai-Jung Ho,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-09.

Selectively deposited spacer film for metal gate sidewall protection

Номер патента: US09818846B2. Автор: Tsai-Jung Ho,Pei-Ren Jeng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-14.

Nitride spacer for protecting a fin-shaped field effect transistor (FinFET) device

Номер патента: US09978588B2. Автор: Michael Ganz. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-22.

Fin field effect transistor (FinFET) device and method for forming the same

Номер патента: US09553171B2. Автор: Che-Cheng Chang,Yung-Jung Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

Semiconductor device structure having multi-layered insulating cap layers over metal gate

Номер патента: US09502527B2. Автор: Yung-Tsun LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Methods of forming graphene contacts on source/drain regions of FinFET devices

Номер патента: US09972537B2. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-15.

Structure and method for FinFET device with asymmetric contact

Номер патента: US12009426B2. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-11.

Forming metal contacts on metal gates

Номер патента: US11901426B2. Автор: Mei-Yun Wang,Chen-Yuan Kao,feng-yu Chang,Chao-Hsun Wang,Yu-Feng Yin,Kuo-Yi Chao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Semiconductor device with robust inner spacer

Номер патента: US20240006496A1. Автор: Kangguo Cheng,Shogo Mochizuki,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2024-01-04.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Method of forming finfet device

Номер патента: US20190229202A1. Автор: Tsung-Mu Yang,Ching-I Li,Yu-Ming Hsu,Chun-Liang Kuo,Tsang-Hsuan Wang. Владелец: United Microelectronics Corp. Дата публикации: 2019-07-25.

Semiconductor FinFET device and method

Номер патента: US10770302B2. Автор: Chih-Yu Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-08.

FinFET device and method of making the same

Номер патента: US09653466B2. Автор: Haining Yang,Yanxiang Liu. Владелец: Qualcomm Inc. Дата публикации: 2017-05-16.

Method of making a FinFET device

Номер патента: US09443768B2. Автор: Ming-Feng Shieh,Hung-Chang Hsieh,Han-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-13.

Stacked nanosheet field effect transistor device with substrate isolation

Номер патента: US09881998B1. Автор: Kangguo Cheng,Geng Wang,Juntao Li,Qintao Zhang. Владелец: International Business Machines Corp. Дата публикации: 2018-01-30.

Self-aligned gate edge trigate and finfet devices

Номер патента: US20210249411A1. Автор: Tahir Ghani,Szuya S. LIAO,Biswajeet Guha,Leonard P. GULER,Christopher N. Kenyon. Владелец: Intel Corp. Дата публикации: 2021-08-12.

Multi-gate device with air gap spacer and fabrication methods thereof

Номер патента: US20230369398A1. Автор: Pei-Yu Wang,Wei Ju Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-16.

Methods and apparatus of metal gate transistors

Номер патента: US09508590B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Bor-Zen Tien,Tzong-Sheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Method of Making a FinFET Device

Номер патента: US20150249039A1. Автор: Ming-Feng Shieh,Hung-Chang Hsieh,Han-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-09-03.

Method Of Making a FinFET Device

Номер патента: US20150147867A1. Автор: Ming-Feng Shieh,Hung-Chang Hsieh,Han-Wei Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-05-28.

Method of forming FinFET device

Номер патента: US10468502B2. Автор: Tsung-Mu Yang,Ching-I Li,Yu-Ming Hsu,Chun-Liang Kuo,Tsang-Hsuan Wang. Владелец: United Microelectronics Corp. Дата публикации: 2019-11-05.

FinFET device and method of forming the same

Номер патента: US10263096B1. Автор: Tsung-Mu Yang,Ching-I Li,Yu-Ming Hsu,Chun-Liang Kuo,Tsang-Hsuan Wang. Владелец: United Microelectronics Corp. Дата публикации: 2019-04-16.

Methods of forming FinFET devices

Номер патента: US11152249B2. Автор: MING-CHING Chang,Ryan Chia-Jen Chen,Fang-Cheng Chen,Jih-Jse Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-19.

Superior integrity of high-k metal gate stacks by capping sti regions

Номер патента: SG10201405133YA. Автор: Baars Peter,Scheiper Thilo,Beyer Sven. Владелец: Globalfoundries Dresden Mod 1. Дата публикации: 2014-10-30.

SELF ALIGNED STRUCTURE AND METHOD FOR HIGH-K METAL GATE WORK FUNCTION TUNING

Номер патента: US20160315083A1. Автор: Doris Bruce B.,Cheng Kangguo,Reznicek Alexander,Khakifirooz Ali. Владелец: . Дата публикации: 2016-10-27.

Low resistive electrode for an extendable high-k metal gate stack

Номер патента: US20170200720A1. Автор: Keith Kwong Hon Wong,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2017-07-13.

Low resistive electrode for an extendable high-k metal gate stack

Номер патента: US20170200654A1. Автор: Keith Kwong Hon Wong,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2017-07-13.

Low resistive electrode for an extendable high-k metal gate stack

Номер патента: US09997518B2. Автор: Keith Kwong Hon Wong,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2018-06-12.

3D SEMICONDUCTOR DEVICE AND STRUCTURE WITH HIGH-K METAL GATE TRANSISTORS

Номер патента: US20220084869A1. Автор: OR-BACH Zvi,Sekar Deepak C.,Cronquist Brian. Владелец: MonolithIC 3D Inc.. Дата публикации: 2022-03-17.

Enhanced gate replacement process for high-K metal gate technology

Номер патента: US09691876B2. Автор: Ming Zhu,Harry-Hak-Lay Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-27.

Methods for high-k metal gate CMOS with SiC and SiGe source/drain regions

Номер патента: US09595585B2. Автор: Gang MAO. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-03-14.

Method and device for high k metal gate transistors

Номер патента: US09570611B2. Автор: YONG Li,Xiao Na Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-02-14.

LOW RESISTIVE ELECTRODE FOR AN EXTENDABLE HIGH-K METAL GATE STACK

Номер патента: US20170200654A1. Автор: Wong Keith Kwong Hon,Bao Ruqiang. Владелец: . Дата публикации: 2017-07-13.

Low resistive electrode for an extendable high-k metal gate stack

Номер патента: US9960161B2. Автор: Keith Kwong Hon Wong,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2018-05-01.

ENHANCED GATE REPLACEMENT PROCESS FOR HIGH-K METAL GATE TECHNOLOGY

Номер патента: US20160111522A1. Автор: CHUANG Harry-Hak-Lay,ZHU Ming. Владелец: . Дата публикации: 2016-04-21.

Semiconductor device with high-K gate dielectric layer and fabrication method thereof

Номер патента: US10431501B2. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-10-01.

Self-Aligned Contacts for High k/Metal Gate Process Flow

Номер патента: US20120175711A1. Автор: Ying Li,Ravikumar Ramachandran,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2012-07-12.

SELF-ALIGNED CONTACTS FOR HIGH k/METAL GATE PROCESS FLOW

Номер патента: US20130189834A1. Автор: Ying Li,Ravikumar Ramachandran,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2013-07-25.

METHOD AND DEVICE FOR HIGH K METAL GATE TRANSISTORS

Номер патента: US20160225903A1. Автор: Li Yong,WANG XIAO NA. Владелец: . Дата публикации: 2016-08-04.

CONTACT FOR HIGH-K METAL GATE DEVICE

Номер патента: US20160293721A1. Автор: CHUANG Harry-Hak-Lay,Lin Huan-Just. Владелец: . Дата публикации: 2016-10-06.

Contact for High-K Metal Gate Device

Номер патента: US20170317180A1. Автор: CHUANG Harry-Hak-Lay,Lin Huan-Just. Владелец: . Дата публикации: 2017-11-02.

Self-aligned contacts for high k/metal gate process flow

Номер патента: US8536656B2. Автор: Ying Li,Ravikumar Ramachandran,Ramachandra Divakaruni. Владелец: International Business Machines Corp. Дата публикации: 2013-09-17.

Self-aligned contacts for high k/metal gate process flow

Номер патента: CN103299428A. Автор: 李影,R·拉玛钱德兰,R·迪瓦卡鲁尼. Владелец: International Business Machines Corp. Дата публикации: 2013-09-11.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09911747B2. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2018-03-06.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09721962B1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-08-01.

High-k/metal gate mosfet with reduced parasitic capacitance

Номер патента: WO2009002670A1. Автор: Kangguo Cheng. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2008-12-31.

High-k / metal gate CMOS transistors with TiN gates

Номер патента: US09721847B2. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2017-08-01.

Method for CMP of high-K metal gate structures

Номер патента: US09646840B2. Автор: Jian Zhao,Hangping Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-05-09.

High-k/metal gate cmos transistors with tin gates

Номер патента: EP3090445A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2016-11-09.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150187653A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2015-07-02.

High-k/metal gate cmos transistors with tin gates

Номер патента: WO2015103412A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Japan Limited. Дата публикации: 2015-07-09.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150287643A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-08.

STRUCTURE AND METHOD FOR HIGH-K METAL GATE

Номер патента: US20190067457A1. Автор: Wang Chun-Chieh,LEE CHENG-HAN,Chang Shih-Chieh,MORE Shahaji B.,Pan Zheng-Yang. Владелец: . Дата публикации: 2019-02-28.

Third type of metal gate stack for CMOS devices

Номер патента: US09634006B2. Автор: Viraj Y. Sardesai,Ramachandra Divakaruni,Sameer H. Jain,Keith H. Tabakman. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

FinFET device

Номер патента: US09805984B2. Автор: Chen Kuang-Hsin,Chi-Hsi Wu,Kuo-Chiang Ting,Joanna Chaw Yane Yin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-31.

Method of making a FinFET device

Номер патента: US09659810B2. Автор: Chen Kuang-Hsin,Chi-Hsi Wu,Kuo-Chiang Ting,Joanna Chaw Yane Yin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-23.

Mechanism for forming metal gate structure

Номер патента: US09553161B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

Method of fabricating a FinFET device

Номер патента: US12033898B2. Автор: Kuang-Hsin Chen,Chi-Hsi Wu,Kuo-Chiang Ting,Joanna Chaw Yane Yin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method of fabricating a finfet device

Номер патента: US20190057908A1. Автор: Kuang-Hsin Chen,Chi-Hsi Wu,Kuo-Chiang Ting,Joanna Chaw Yane Yin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-02-21.

Passivating point defects in high-k gate dielectric layers during gate stack formation

Номер патента: SG193698A1. Автор: Trentzsch Martin,Erben Elke,j carter Richard. Владелец: Globalfoundries Inc. Дата публикации: 2013-10-30.

Interfacial layer for use with high k dielectric materials

Номер патента: WO2006023027A1. Автор: Papu D. Maniar,Shawn G. Thomas,Vida Ilderem. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2006-03-02.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: EP3692576A1. Автор: Krishnaswamy Ramkumar. Владелец: Longitude Flash Memory Solutions Ltd. Дата публикации: 2020-08-12.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: WO2019070383A1. Автор: Krishnaswamy Ramkumar. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2019-04-11.

SEMICONDUCTOR DEVICE WITH A MEMORY DEVICE AND A HIGH-K METAL GATE TRANSISTOR

Номер патента: US20170125432A1. Автор: Beyer Sven,Richter Ralf. Владелец: . Дата публикации: 2017-05-04.

Semiconductor device with a memory device and a high-K metal gate transistor

Номер патента: US9754951B2. Автор: Ralf Richter,Sven Beyer. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-05.

EMBEDDED SONOS WITH A HIGH-K METAL GATE AND MANUFACTURING METHODS OF THE SAME

Номер патента: US20190371806A1. Автор: Ramkumar Krishnaswamy. Владелец: . Дата публикации: 2019-12-05.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: EP3692576A4. Автор: Krishnaswamy Ramkumar. Владелец: Longitude Flash Memory Solutions Ltd. Дата публикации: 2021-08-18.

Low temperature nitridation of amorphous high-k metal-oxide in inter-gates insulator stack

Номер патента: TW200541080A. Автор: Tai-Peng Lee,Barbara Haselden. Владелец: Promos Technologies Inc. Дата публикации: 2005-12-16.

FinFET device with channel strain

Номер патента: US09640640B1. Автор: Hong He,Bruce B. Doris,Sivananda K. Kanakasabapathy,Gauri Karve,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-05-02.

FinFET device and method of forming the same

Номер патента: US09653364B1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-16.

Method of making a FinFET device

Номер патента: US09496372B2. Автор: MING-CHING Chang,Chao-Cheng Chen,Chih-Han Lin,Jr-Jung LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-15.

Structure and method for finfet device with source/drain modulation

Номер патента: US20240363435A1. Автор: Jhon Jhy Liaw,Ta-Chun Lin,Kuo-Hua Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

FinFET Device and Method

Номер патента: US20240290867A1. Автор: MING-CHING Chang,Chao-Cheng Chen,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

FinFET Device and Method of Forming

Номер патента: US20200135476A1. Автор: Shih-Chieh Chang,Yi-Min Huang,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

Electroless plating method for metal gate fill

Номер патента: US12107150B2. Автор: Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Retaining strain in finFET devices

Номер патента: US09793402B2. Автор: Bruce B. Doris,Junli Wang,Gauri Karve,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-10-17.

Retaining strain in finFET devices

Номер патента: US09728642B2. Автор: Bruce B. Doris,Junli Wang,Gauri Karve,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-08-08.

FinFET device with channel strain

Номер патента: US9515141B1. Автор: Hong He,Bruce B. Doris,Sivananda K. Kanakasabapathy,Gauri Karve,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2016-12-06.

Conformity control for metal gate stack

Номер патента: US09396953B2. Автор: Yu-Sheng Wang,Chi-Cheng Hung,Kuan-Ting Liu,Ching-Hwanq Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-07-19.

FinFET device and method

Номер патента: US12009406B2. Автор: MING-CHING Chang,Chao-Cheng Chen,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-11.

Electroless Plating Method for Metal Gate Fill

Номер патента: US20230299177A1. Автор: Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

Method of manufacturing a replacement metal gate device structure

Номер патента: US20220384195A1. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2022-12-01.

FinFET device and method of forming

Номер патента: US11854811B2. Автор: Shih-Chieh Chang,Yi-Min Huang,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

FinFET Device and Method of Forming

Номер патента: US20210233771A1. Автор: Shih-Chieh Chang,Yi-Min Huang,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-29.

Metal gate process and related structure

Номер патента: US20230386920A1. Автор: Chih-Teng Liao,Chen-Wei Pan,Chih-Lun Lu,Jih-Sheng Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Methods of forming finfet devices

Номер патента: US20240234534A1. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi-On CHUI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-11.

Retaining strain in finfet devices

Номер патента: US20170125577A1. Автор: Bruce B. Doris,Junli Wang,Gauri Karve,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-05-04.

Retaining strain in finfet devices

Номер патента: US20170125590A1. Автор: Bruce B. Doris,Junli Wang,Gauri Karve,Fee Li LIE. Владелец: International Business Machines Corp. Дата публикации: 2017-05-04.

Replacement metal gate device structure and method of manufacturing same

Номер патента: US11769669B2. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2023-09-26.

Replacement metal gate device structure and method of manufacturing same

Номер патента: EP3933933A1. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2022-01-05.

Methods, apparatus, and system for fabricating finFET devices with increased breakdown voltage

Номер патента: US10153211B1. Автор: Sipeng Gu,Xinyuan Dou,Yanzhen Wang. Владелец: Globalfoundries Inc. Дата публикации: 2018-12-11.

CMOS device with raised source and drain regions

Номер патента: US09905474B2. Автор: Fu-Liang Yang,Chien-Chao Huang,Chun-Sheng Liang,Hung-Ming Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-27.

Methods of forming FinFET devices

Номер патента: US11955528B2. Автор: Weng Chang,Cheng-Lung Hung,Hsin-Yi Lee,Chi-On CHUI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-09.

Finfet device and method of forming same

Номер патента: US20230378362A1. Автор: Shih-Chieh Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Transistor devices with high-k insulation layers

Номер патента: US09425194B2. Автор: Matthias KESSLER,Stefan Flachowsky,Martin Gerhardt. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-23.

Method of Forming Different Voltage Devices with High-K Metal Gate

Номер патента: US20150380408A1. Автор: HONG CHEONG Min,Kang Sung-Taeg,Perera Asanga H.. Владелец: . Дата публикации: 2015-12-31.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US20130005128A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-03.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US8765537B2. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-01.

High-K metal gate

Номер патента: US09431509B2. Автор: James Joseph Chambers,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2016-08-30.

Gate Structure and Methods of Forming Metal Gate Isolation

Номер патента: US20190334003A1. Автор: Meng-Fang Hsu,Chun-Sheng Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-31.

High-k metal gate

Номер патента: US20140183653A1. Автор: James Joseph Chambers,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2014-07-03.

Cmos-vorrichtung einschliesslich pmos- metall-gate mit niedriger schwellenspannung

Номер патента: DE102019107531A1. Автор: Ying Pang,Dan Lavric. Владелец: Intel Corp. Дата публикации: 2019-10-02.

METHOD FOR ETCHING HIGH-K METAL GATE STACK

Номер патента: US20160181107A1. Автор: LEE Hae-Jung,SHIN Su-Bum. Владелец: . Дата публикации: 2016-06-23.

METHOD FOR ETCHING HIGH-K METAL GATE STACK

Номер патента: US20160336180A1. Автор: LEE Hae-Jung,SHIN Su-Bum. Владелец: . Дата публикации: 2016-11-17.

Method to improve reliability of high-K metal gate stacks

Номер патента: US9299802B2. Автор: Takashi Ando,Vijay Narayanan,Eduard A. Cartier,Barry P. Linder. Владелец: International Business Machines Corp. Дата публикации: 2016-03-29.

Method of hybrid high-k/metal-gate stack fabrication

Номер патента: CN103311185A. Автор: 庄学理,黄仁安,陈柏年,杨宝如,钟升镇. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-09-18.

High-K Metal Gate Process and Device

Номер патента: US20200251574A1. Автор: Chun-Chieh Wang,Huai-Tei Yang,Yueh-Ching Pai,Chun-I Wu,Chien-Shun Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-06.

High-k metal gate process and device

Номер патента: US10971602B2. Автор: Chun Chieh Wang,Huai-Tei Yang,Yueh-Ching Pai,Chun-I Wu,Chien-Shun Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-04-06.

High voltage polysilicon gate in high-K metal gate device

Номер патента: US11569251B2. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-01-31.

High-k metal gate structure fabrication method including hard mask

Номер патента: TW201011815A. Автор: Harry Chuang,Kong-Beng Thei,Sheng-Chen Chung,Shun-Jang Liao. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2010-03-16.

Semiconductor device comprising ferroelectric elements and fast high-K metal gate transistors

Номер патента: US09564521B2. Автор: Till Schloesser,Peter Baars. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-07.

SEMICONDUCTOR DEVICE WITH HIGH-K GATE DIELECTRIC LAYER

Номер патента: US20190378766A1. Автор: Li Yong. Владелец: . Дата публикации: 2019-12-12.

High-K Metal Gate Process and Device

Номер патента: US20200251574A1. Автор: Wang Chun-Chieh,YANG Huai-Tei,WU Chun-I,PAI Yueh-Ching,Liao Chien-Shun. Владелец: . Дата публикации: 2020-08-06.

Structure and Method for High-K Metal Gate

Номер патента: US20200295157A1. Автор: Wang Chun-Chieh,LEE CHENG-HAN,Chang Shih-Chieh,MORE Shahaji B.,Pan Zheng-Yang. Владелец: . Дата публикации: 2020-09-17.

Method for cmp of high-k metal gate structures

Номер патента: US20150340451A1. Автор: Jian Zhao,Hangping Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-11-26.

MULTI TIME PROGRAMMABLE MEMORIES USING LOCAL IMPLANTATION IN HIGH-K/ METAL GATE TECHNOLOGIES

Номер патента: US20170358587A1. Автор: Ando Takashi,Kothandaraman Chandrasekharan,Cartier Eduard A.. Владелец: . Дата публикации: 2017-12-14.

MULTI TIME PROGRAMMABLE MEMORIES USING LOCAL IMPLANTATION IN HIGH-K/ METAL GATE TECHNOLOGIES

Номер патента: US20170358588A1. Автор: Ando Takashi,Kothandaraman Chandrasekharan,Cartier Eduard A.. Владелец: . Дата публикации: 2017-12-14.

High voltage extended drain mosfet (edmos) devices in a high-k metal gate (hkmg)

Номер патента: US20220020746A1. Автор: Peter Baars,Thorsten E. Kammler. Владелец: GlobalFoundries US Inc. Дата публикации: 2022-01-20.

High-k/metal gate transistor

Номер патента: WO2011060972A1. Автор: Vijay Narayanan,Wesley Natzle,Renee Mo,Jeffrey Sleight. Владелец: Ibm United Kingdom Limited. Дата публикации: 2011-05-26.

Structure and method for forming programmable high-k/metal gate memory device

Номер патента: TW201044511A. Автор: Chandrasekharan Kothandaraman,Kang-Guo Cheng,Cheng-Wen Pei,Roger A Booth Jr. Владелец: Ibm. Дата публикации: 2010-12-16.

LDMOS WITH HIGH-K DRAIN STI DIELECTRIC

Номер патента: US20190103471A1. Автор: Sadovnikov Alexei,Mahalingam Pushpa,Aghoram Umamaheswari,Davis Eugene C.. Владелец: . Дата публикации: 2019-04-04.

Method for fabricating transistor with high-K dielectric sidewall spacer

Номер патента: US8536041B2. Автор: Isaac Lauer,Jeffrey W. Sleight,Leland Chang. Владелец: International Business Machines Corp. Дата публикации: 2013-09-17.

PMOS high-k metal gates

Номер патента: US12051734B2. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C. H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2024-07-30.

Pmos high-k metal gates

Номер патента: US20230097400A1. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C.H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2023-03-30.

Flash Memory Utilizing a High-K Metal Gate

Номер патента: US20140038404A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-02-06.

Pmos high-k metal gates

Номер патента: US20220077298A1. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C. H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2022-03-10.

Superior Integrity of High-K Metal Gate Stacks by Forming STI Regions After Gate Metals

Номер патента: US20130075820A1. Автор: Peter Baars,Thilo Scheiper. Владелец: Globalfoundries Inc. Дата публикации: 2013-03-28.

STRUCTURE AND METHOD FOR NFET WITH HIGH K METAL GATE

Номер патента: US20150004779A1. Автор: ZHU Ming,LIU Chi-Wen,Ng Jin-Aun. Владелец: . Дата публикации: 2015-01-01.

EMBEDDED SONOS WITH A HIGH-K METAL GATE AND MANUFACTURING METHODS OF THE SAME

Номер патента: US20190103414A1. Автор: Ramkumar Krishnaswamy. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2019-04-04.

BOUNDARY REGION FOR HIGH-K-METAL-GATE (HKMG) INTEGRATION TECHNOLOGY

Номер патента: US20200144263A1. Автор: Chou Chien-Chih,Thei Kong-Beng,Chen Yi-Huan. Владелец: . Дата публикации: 2020-05-07.

High-K Metal Gate and Method for Fabricating the Same

Номер патента: US20190341317A1. Автор: Yeh Ming-Hsi,Chuang Ying-Liang,Huang Kuo Bin,Huang Ju-Li,Chiang Chih-Long. Владелец: . Дата публикации: 2019-11-07.

Atomic layer deposition of high k metal oxides

Номер патента: EP1535319A2. Автор: Sang-In Lee,Yoshihide Senzaki,Sang-Kyoo Lee. Владелец: Integrated Process Systems Ltd. Дата публикации: 2005-06-01.

Atomic layer deposition of high k metal oxides

Номер патента: EP1535319A4. Автор: Sang-In Lee,Yoshihide Senzaki,Sang-Kyoo Lee. Владелец: Integrated Process Systems Ltd. Дата публикации: 2008-05-28.

Atomic layer deposition of high k metal silicates

Номер патента: WO2004017378A2. Автор: Sang-In Lee,Yoshihide Senzaki,Sang-Kyoo Lee. Владелец: Integrated Process Systems, Ltd.. Дата публикации: 2004-02-26.

Atomic layer deposition of high k metal oxides

Номер патента: AU2003263872A8. Автор: Sang-In Lee,Yoshihide Senzaki,Sang-Kyoo Lee. Владелец: Integrated Process Systems Ltd. Дата публикации: 2004-03-03.

Method and structure for transistors using gate stack dopants with minimal nitrogen penetration

Номер патента: US09418995B2. Автор: Balaji Kannan,Rekha Rajaram,Unoh Kwon. Владелец: Globalfoundries Inc. Дата публикации: 2016-08-16.

Method for etching high-k metal gate stack

Номер патента: US09514943B1. Автор: Hae-Jung Lee,Su-Bum Shin. Владелец: SK hynix Inc. Дата публикации: 2016-12-06.

Method for etching high-k metal gate stack

Номер патента: US09431255B2. Автор: Hae-Jung Lee,Su-Bum Shin. Владелец: SK hynix Inc. Дата публикации: 2016-08-30.

EMBEDDED SONOS AND HIGH VOLTAGE SELECT GATE WITH A HIGH-K METAL GATE AND MANUFACTURING METHODS OF THE SAME

Номер патента: US20200350213A1. Автор: Ramkumar Krishnaswamy,Prabhakar Venkatraman. Владелец: . Дата публикации: 2020-11-05.

High-K metal-insulator-metal capacitor and method of manufacturing the same

Номер патента: US09876068B1. Автор: Chih-Chao Yang. Владелец: International Business Machines Corp. Дата публикации: 2018-01-23.

HIGH-K METAL-INSULATOR-METAL CAPACITOR AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20180122893A1. Автор: Yang Chih-Chao. Владелец: . Дата публикации: 2018-05-03.

FinFET device and method of forming the same

Номер патента: US12125851B2. Автор: Yuan-Ching Peng,Kuo-Yi Chao,Jiun-Ming Kuo,Szu-Wei Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-22.

Structure and method to form a FinFET device

Номер патента: US09525069B2. Автор: Effendi Leobandung,Andres Bryant,Tenko Yamashita,Jeffrey B. Johnson. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-20.

FinFET device and method of forming the same

Номер патента: US09627537B1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

FinFET with Metal Gate Stressor

Номер патента: US20150056774A1. Автор: Yasutoshi Okuno,Wei-Hsiung Tseng,Andrew Joseph Kelly,Pei-Shan Chien. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-02-26.

Bottom-up metal gate formation on replacement metal gate finfet devices

Номер патента: US20160204221A1. Автор: Hong He,Chih-Chao Yang,Juntao Li,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2016-07-14.

Method of making a finFET device

Номер патента: US09899496B2. Автор: Chin-Hsiang Lin,Neng-Kuo Chen,Sung-Li Wang,Sey-Ping Sun,Clement Hsingjen Wann. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-20.

Method of making a finFET device

Номер патента: US9899496B2. Автор: Chin-Hsiang Lin,Neng-Kuo Chen,Sung-Li Wang,Sey-Ping Sun,Clement Hsingjen Wann. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-20.

Method of using a sacrificial gate structure to make a metal gate FinFET transistor

Номер патента: US09548361B1. Автор: Pierre Morin,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-01-17.

Metal gate process for FinFET device improvement

Номер патента: US09837505B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-05.

Semiconductor Integrated Device with Channel Region

Номер патента: US20140138763A1. Автор: Kai-Chieh Yang,Wei-Hao Wu,Zhiqiang Wu,Wen-Hsing Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-05-22.

Thin-sheet FinFET device

Номер патента: US09711647B2. Автор: Martin Christopher Holland,Mark Van Dal,Matthias Passlack. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Method for etching gate stack

Номер патента: US20120295431A1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2012-11-22.

Method for etching gate stack

Номер патента: US20130285159A1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc.. Дата публикации: 2013-10-31.

Method for etching gate stack

Номер патента: US8575016B1. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2013-11-05.

Method for etching gate stack

Номер патента: WO2012162185A2. Автор: John Foster,Kim Van Berkel. Владелец: INTERMOLECULAR, INC.. Дата публикации: 2012-11-29.

Finfet semiconductor device with isolated channel regions

Номер патента: US20160093739A1. Автор: Ajey Poovannummoottil Jacob,Nicolas Loubet. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-03-31.

Formation method of semiconductor device structure with gate stacks

Номер патента: US12132111B2. Автор: Tsung-Yu CHIANG,Ya-Wen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Replacement metal gate FinFET

Номер патента: US09472407B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2016-10-18.

Techniques providing high-k dielectric metal gate CMOS

Номер патента: US09431404B2. Автор: Wei-Yuan Lu,Chun-Fai Cheng,Kuan-Chung Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Structure and method to form a finfet device

Номер патента: US20170047350A1. Автор: Effendi Leobandung,Andres Bryant,Tenko Yamashita,Jeffrey B. Johnson. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-16.

Structure and method to form a finfet device

Номер патента: US20150303272A1. Автор: Effendi Leobandung,Andres Bryant,Tenko Yamashita,Jeffrey B. Johnson. Владелец: Globalfoundries Inc. Дата публикации: 2015-10-22.

Super junction LDMOS finFET devices

Номер патента: US09472615B2. Автор: Akira Ito,Qintao Zhang. Владелец: Broadcom Corp. Дата публикации: 2016-10-18.

Finfet devices and method of forming the same

Номер патента: US20190304842A1. Автор: Wei-Shuo HO,Cheng-Chien Li,Huang-Chao Chang,Wei-Zhe Jhang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-03.

III-V FINFET devices having multiple threshold voltages

Номер патента: US09837406B1. Автор: Karthik Balakrishnan,Pouya Hashemi,Alexander Reznicek. Владелец: International Business Machines Corp. Дата публикации: 2017-12-05.

FinFET devices and methods of forming

Номер патента: US09887137B2. Автор: Chi-Wen Liu,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-06.

FinFET devices and methods of forming

Номер патента: US09449975B1. Автор: Chi-Wen Liu,Kuo-Cheng Ching. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-20.

Method and structure for metal gates

Номер патента: US09431304B2. Автор: Ming-Hsi Yeh,Chao-Cheng Chen,Ming-Chia Tai,Ju-Li Huang,Calvin Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Finfet device integrated with tfet and manufacturing method thereof

Номер патента: US20200006326A1. Автор: Deming Sun. Владелец: Chengdu Image Design Technology Co ltd. Дата публикации: 2020-01-02.

Semiconductor device structure with metal gate stack

Номер патента: US11769819B2. Автор: Xusheng Wu,Chang-Miao Liu,Huiling Shang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-26.

Semiconductor device structure with metal gate stack

Номер патента: US12132096B2. Автор: Xusheng Wu,Chang-Miao Liu,Huiling Shang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Semiconductor devices and FinFET devices

Номер патента: US09768263B2. Автор: Martin Christopher Holland,Matthias Passlack,Richard Kenneth Oxland. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-19.

Fin field effect transistor (finFET) device including a set of merged fins formed adjacent a set of unmerged fins

Номер патента: US09472572B2. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-18.

Etching back and selective deposition of metal gate

Номер патента: US12068393B2. Автор: Weng Chang,Cheng-Lung Hung,Mao-Lin Huang,Peng-Soon Lim. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Etching back and selective deposition of metal gate

Номер патента: US20240371973A1. Автор: Weng Chang,Cheng-Lung Hung,Mao-Lin Huang,Peng-Soon Lim. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-07.

FinFET devices having fins with a tapered configuration and methods of fabricating the same

Номер патента: US09875905B2. Автор: Ruilong Xie,Min Gyu Sung,Catherine B. Labelle. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-23.

Replacement metal gate FinFET

Номер патента: US9093376B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2015-07-28.

Dual metal gates for mugfet device

Номер патента: US20080272433A1. Автор: Weize Xiong,Husam Niman Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2008-11-06.

Method for etching gate stack

Номер патента: EP2710627A2. Автор: John Foster,Kim Van Berkel. Владелец: Intermolecular Inc. Дата публикации: 2014-03-26.

Structure and method for 3D FinFET metal gate

Номер патента: US09876114B2. Автор: Ting-Chun Wang,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-23.

Replacement metal gate finFET

Номер патента: US09530651B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2016-12-27.

Replacement metal gate FinFET

Номер патента: US09437436B2. Автор: Stefan Schmitz,Junli Wang,Hemanth Jagannathan,Sanjay C. Mehta,Chun-Chen Yeh. Владелец: International Business Machines Corp. Дата публикации: 2016-09-06.

Metal gate process for finfet device improvement

Номер патента: US20170141203A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-18.

Metal Gate Process for FinFET Device Improvement

Номер патента: US20200006513A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Methods of forming nmos and pmos finfet devices and the resulting product

Номер патента: US20180033700A1. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-01.

Fin-like field effect transistor (FinFET) device and method of manufacturing same

Номер патента: US09911735B2. Автор: Chih-Hao Chang,Jeff J. Xu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-06.

Method for manufacturing a FinFET device

Номер патента: US09590076B1. Автор: Tao Yang,Qing Liu,Jinbiao Liu,Yao Wang,Junfeng Li,Guilei Wang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-03-07.

Seam-filling of metal gates with Si-containing layers

Номер патента: US11948981B2. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-02.

Gate spacer structure of FinFET device

Номер патента: US11810963B2. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-07.

Seam-Filling of Metal Gates with Si-Containing Layers

Номер патента: US20240021680A1. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Formation method of semiconductor device structure with gate stack

Номер патента: US20170207337A1. Автор: Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-20.

FinFET devices and methods of forming the same

Номер патента: US09947592B2. Автор: Horng-Huei Tseng,Yi-Jen Chen,Jie-Cheng Deng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-17.

Method of fabricating FinFET device and structure thereof

Номер патента: US09653593B2. Автор: Bartlomiej Jan Pawlak. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-16.

FinFET devices and methods of forming the same

Номер патента: US09627379B1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

Finfet device and method of forming same

Номер патента: US20180315831A1. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-11-01.

Gate spacer structure of finfet device

Номер патента: US20200135892A1. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-30.

FinFET Device and Method of Forming Same

Номер патента: US20190273150A1. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-09-05.

Gate Spacer Structure of FinFET Device

Номер патента: US20200403085A1. Автор: Chih-Hao Chang,Chung-Ting LI,Jen-Hsiang Lu,Bi-Fen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-12-24.

Capacitor integrated in finfet device and method for fabricating the same

Номер патента: US20220246604A1. Автор: Rui Pan,Jionghan Ye. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-08-04.

Backside via and metal gate separation

Номер патента: US20240355708A1. Автор: Mei-Yun Wang,Shih-Chieh Wu,Po-Yu Huang,Fu-Kai Yang,Chen-Ming Lee,I-Wen Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-24.

Capacitor integrated in FinFET device and method for fabricating the same

Номер патента: US11887983B2. Автор: Rui Pan,Jionghan Ye. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-01-30.

Semiconductor devices, FinFET devices and methods of forming the same

Номер патента: US09997633B2. Автор: Chii-Ming Wu,Ru-Shang Hsiao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-12.

Semiconductor device structure with metal gate stack

Номер патента: US20230378321A1. Автор: Xusheng Wu,Chang-Miao Liu,Huiling Shang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

FinFET Device

Номер патента: US20180090607A1. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Chia Tai Lin,An-Shen CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-29.

FinFET device

Номер патента: US09825173B2. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Chia Tai Lin,An-Shen CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-21.

Thin-sheet FinFET device

Номер патента: US12015083B2. Автор: Martin Christopher Holland,Mark Van Dal,Matthias Passlack. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-18.

Low parasitic capacitance finFET device

Номер патента: US09685501B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

FinFET Device and Method of Forming Same

Номер патента: US20190131176A1. Автор: Ying Ting Hsia,Yi-Wei Chiu,Chih-Shan Chen,Chih-Teng Liao,Tzu-Chan Weng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-05-02.

FinFET device and method of forming same

Номер патента: US09812363B1. Автор: Ying Ting Hsia,Yi-Wei Chiu,Chih-Shan Chen,Chih-Teng Liao,Tzu-Chan Weng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-07.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20150187586A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2015-07-02.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20170263458A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2017-09-14.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US9337042B2. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2016-05-10.

Fin field effect transistor (FinFET) device with protection layer

Номер патента: US11798939B2. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-24.

Device with a dummy fin contacting a gate isolation region

Номер патента: US20240379818A1. Автор: Shih-Yao Lin,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Asymmetric FinFET devices

Номер патента: US8901619B2. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris. Владелец: International Business Machines Corp. Дата публикации: 2014-12-02.

Semiconductor device with reduced flicker noise

Номер патента: US20200144389A1. Автор: Yu-Chi Chang,Hsin-Li Cheng,Liang-Tai Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-07.

FinFET Device

Номер патента: US20200279945A1. Автор: Chao-Cheng Chen,Yih-Ann Lin,Ryan Chen,Chia Tai Lin,An-Shen CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-03.

Semiconductor device with flexible sheet structure

Номер патента: US20230163196A1. Автор: Chun-Yen Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-05-25.

Finfet device

Номер патента: US20240194785A1. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Chia Tai Lin,An-Shen CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-13.

Semiconductor device with air gap

Номер патента: US11742383B2. Автор: Ping-Lung Yu,Po-Chun Shao. Владелец: Winbond Electronics Corp. Дата публикации: 2023-08-29.

Finfet device and method of forming same

Номер патента: US20210376150A1. Автор: Shih-Chieh Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-02.

Methods for crossed-fins FinFET device for sensing and measuring magnetic fields

Номер патента: US09964605B2. Автор: Min-Hwa Chi,Xusheng Wu. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-08.

Semiconductor device with high-k/dual metal gate

Номер патента: US20090039433A1. Автор: Harry Chuang,Chien-Liang Chen,Wen-Chih Yang,Chii-Horng Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-02-12.

Gate structure in high-k metal gate technology

Номер патента: US20240290859A1. Автор: Alexander Kalnitsky,Wei Cheng Wu,Shih-Hao Lo,Hung-Pin Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

METHODS AND STRUCTURE TO FORM HIGH K METAL GATE STACK WITH SINGLE WORK-FUNCTION METAL

Номер патента: US20170025315A1. Автор: Ando Takashi,Kwon Unoh,Siddiqui Shahab,Kannan Balaji,KRISHNAN SIDDARTH. Владелец: . Дата публикации: 2017-01-26.

HIGH K METAL GATE STACK WITH SINGLE WORK-FUNCTION METAL

Номер патента: US20190318966A1. Автор: Ando Takashi,Kwon Unoh,Siddiqui Shahab,Kannan Balaji,KRISHNAN SIDDARTH. Владелец: . Дата публикации: 2019-10-17.

METHOD TO IMPROVE RELIABILITY OF HIGH-K METAL GATE STACKS

Номер патента: US20160181397A1. Автор: Ando Takashi,Narayanan Vijay,Linder Barry P.,Cartier Eduard A.. Владелец: . Дата публикации: 2016-06-23.

CMOS Circuits with High-K Gate Dielectric

Номер патента: US20080272438A1. Автор: Bruce B. Doris,Vijay Narayanan,Charlotte DeWan Adams,Eduard Albert Cartier. Владелец: International Business Machines Corp. Дата публикации: 2008-11-06.

NON-VOLATILE SPLIT GATE MEMORY CELLS WITH INTEGRATED HIGH K METAL GATE, AND METHOD OF MAKING SAME

Номер патента: US20170098654A1. Автор: Zhou Feng,Do Nhan,Liu Xian,Su Chien-Sheng,Yang Jeng-Wei. Владелец: . Дата публикации: 2017-04-06.

SALICIDED STRUCTURE TO INTEGRATE A FLASH MEMORY DEVICE WITH A HIGH K, METAL GATE LOGIC DEVICE

Номер патента: US20160276354A1. Автор: Liu Ming Chyi. Владелец: . Дата публикации: 2016-09-22.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150287643A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-08.

SEMICONDUCTOR DEVICE COMPRISING FERROELECTRIC ELEMENTS AND FAST HIGH-K METAL GATE TRANSISTORS

Номер патента: US20130270619A1. Автор: Baars Peter,Schloesser Till. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-17.

CONTACT FOR HIGH-K METAL GATE DEVICE

Номер патента: US20150021672A1. Автор: CHUANG Harry-Hak-Lay,Young Bao-Ru,Lin Huan-Just,Wu Wei Cheng,Chung Sheng-Chen,Li Tsai-Chun. Владелец: . Дата публикации: 2015-01-22.

Methods for Doping High-K Metal Gates for Tuning Threshold Voltages

Номер патента: US20210082706A1. Автор: Yu Kuo-Feng,TSAI Chun Hsiung,Chen Jian-Hao,WONG Hoong Shing,Hsu Chih-Yu. Владелец: . Дата публикации: 2021-03-18.

METHODS FOR HIGH-K METAL GATE CMOS WITH SiC AND SiGe SOURCE/DRAIN REGIONS

Номер патента: US20160087040A1. Автор: MAO Gang. Владелец: . Дата публикации: 2016-03-24.

Methods for Doping High-K Metal Gates for Tuning Threshold Voltages

Номер патента: US20220285161A1. Автор: Yu Kuo-Feng,TSAI Chun Hsiung,Chen Jian-Hao,WONG Hoong Shing,Hsu Chih-Yu. Владелец: . Дата публикации: 2022-09-08.

METHOD FOR MANUFACTURING MULTI-VOLTAGE DEVICES USING HIGH-K-METAL-GATE (HKMG) TECHNOLOGY

Номер патента: US20190139837A1. Автор: WU Chii-Ming,TSAI Cheng-Yuan,TSAO Chun-Han,Chen Yi-Huan. Владелец: . Дата публикации: 2019-05-09.

HIGH-K METAL GATE TRANSISTOR STRUCTURE AND FABRICATION METHOD THEREOF

Номер патента: US20170162575A1. Автор: Li Yong. Владелец: . Дата публикации: 2017-06-08.

HIGH-K METAL GATE

Номер патента: US20160336422A1. Автор: CHAMBERS James Joseph,NIIMI Hiroaki. Владелец: . Дата публикации: 2016-11-17.

Method for tuning a work function of high-k metal gate devices

Номер патента: TW201025509A. Автор: Kong-Beng Thei,Chiung-Han Yeh,Harry Hak-Lay Chuang,Sheng-Chen Chung. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2010-07-01.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: TW201513311A. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2015-04-01.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US9911746B1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2018-03-06.

Method of fabricating high-k/metal gate device

Номер патента: US20110143529A1. Автор: Kuang-Yuan Hsu,Wei-Yang Lee,Xiong-Fei Yu,Da-Yuan Lee,Matt Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-06-16.

High-k/metal gate MOSFET with reduced parasitic capacitance

Номер патента: TW200924196A. Автор: Kang-Guo Cheng. Владелец: Ibm. Дата публикации: 2009-06-01.

High-k/metal gate mosfet with reduced parasitic capacitance

Номер патента: EP2160757B1. Автор: Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2013-10-16.

Method of patterning gate electrodes with high k gate dielectrics

Номер патента: TW533484B. Автор: Xia Li,James Yong Meng Lee,Yun-Qiang Zhang. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2003-05-21.

Method of pattening gate electrodes with high k gate dielectrics

Номер патента: SG98448A1. Автор: Xia Li,Lee Yong Meng James,Qiang Zhang Yun. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2003-09-19.

Transistors with high-k dielectric spacer liner to mitigate lateral oxide encroachment

Номер патента: US20090079014A1. Автор: Willy Rachmady,Justin S. Sandford. Владелец: Intel Corp. Дата публикации: 2009-03-26.

Nonvolatile Memory Bitcell With Inlaid High K Metal Select Gate

Номер патента: US20150041875A1. Автор: Perera Asanga H.. Владелец: Freescale Seminconductor, Inc. Дата публикации: 2015-02-12.

High k metal grid structure and method for manufacturing same

Номер патента: CN103794481A. Автор: 韩秋华,倪景华,李凤莲. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2014-05-14.

Method for fabricating a flash memory cell utilizing a high-K metal gate process and related structure

Номер патента: US20110108903A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2011-05-12.

Method of forming memory cell with high-k charge trapping layer

Номер патента: EP3262689A1. Автор: Peter Rabkin,Johann Alsmeier,Masaaki Higashitani,Jayavel Pachamuthu. Владелец: SanDisk Technologies LLC. Дата публикации: 2018-01-03.

E-fuse design for high-K metal-gate technology

Номер патента: US09515155B2. Автор: Roman Boschke,Maciej Wiatr,Stefan Flachowsky,Christian Schippel. Владелец: Globalfoundries Inc. Дата публикации: 2016-12-06.

Methods of forming PMOS and NMOS FinFET devices on CMOS based integrated circuit products

Номер патента: US09799767B2. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-24.

Dual liner cmos integration methods for finfet devices

Номер патента: US20170316985A1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-02.

Structure and method and FinFET device

Номер патента: US9276117B1. Автор: Chih-Hsin Ko,Tze-Liang Lee,Cheng-Hsien Wu,Pang-Yen Tsai,Yi-Jing Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-03-01.

Surface oxidation control of metal gates using capping layer

Номер патента: US12046475B2. Автор: Tze-Liang Lee,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Surface oxidation control of metal gates using capping layer

Номер патента: US20230386848A1. Автор: Tze-Liang Lee,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Thermally enhanced package with high k mold compound on die top

Номер патента: US20240304517A1. Автор: LI Jiang,Jie Chen,Yutaka Suzuki,Rajen Murugan. Владелец: Texas Instruments Inc. Дата публикации: 2024-09-12.

Method of Forming Different Voltage Devices with High-K Metal Gate

Номер патента: US20150069524A1. Автор: HONG CHEONG Min,Kang Sung-Taeg,Perera Asanga H.. Владелец: FREESCALE SEMICONDUCTOR, INC. Дата публикации: 2015-03-12.

LOW RESISTIVE ELECTRODE FOR AN EXTENDABLE HIGH-K METAL GATE STACK

Номер патента: US20170200720A1. Автор: Wong Keith Kwong Hon,Bao Ruqiang. Владелец: . Дата публикации: 2017-07-13.

high-k metal gate stack

Номер патента: CN102893375B. Автор: 王岩峰,郭德超,P·欧尔迪吉斯,T-C·陈. Владелец: International Business Machines Corp. Дата публикации: 2015-11-25.

High-k metal gate stack

Номер патента: CN102893375A. Автор: 王岩峰,郭德超,P·欧尔迪吉斯,T-C·陈. Владелец: International Business Machines Corp. Дата публикации: 2013-01-23.

Negative capacitance FinFET device and manufacturing method of the same

Номер патента: US09831239B1. Автор: Chang Hwan Shin,Eun Ah Ko. Владелец: Industry Cooperation Foundation of University of Seoul. Дата публикации: 2017-11-28.

HIGH-K METAL GATE DEVICES WITH A DUAL WORK FUNCTION AND METHODS FOR MAKING THE SAME

Номер патента: US20150011059A1. Автор: Yu Chen-Hua,YAO Liang-Gi,LIN CHENG-TUNG. Владелец: . Дата публикации: 2015-01-08.

GATE STRUCTURE IN HIGH-K METAL GATE TECHNOLOGY

Номер патента: US20200251566A1. Автор: Kalnitsky Alexander,Wu Wei Cheng,Ko Hung-Pin,Lo Shih-Hao. Владелец: . Дата публикации: 2020-08-06.

High-k metal gate devices and methods for making the same

Номер патента: TW200847293A. Автор: Chen-Hua Yu,Cheng-Tung Lin,Liang-Gi Yao. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2008-12-01.

METAL-INSULATOR-POLY CAPACITOR IN A HIGH-K METAL GATE PROCESS AND METHOD OF MANUFACTURING

Номер патента: US20200020761A1. Автор: Tan Shyue Seng,TAN Juan Boon,SHUM Danny Pak-Chum,CAI Xinshu. Владелец: . Дата публикации: 2020-01-16.

Method Of Forming A Singe Metal That Performs N and P Work Functions In High-K/Metal Gate Devices

Номер патента: US20150303062A1. Автор: Lin Su-Horng. Владелец: . Дата публикации: 2015-10-22.

Flash Memory Utilizing a High-K Metal Gate

Номер патента: US20140038404A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-02-06.

PMOS HIGH-K METAL GATES

Номер патента: US20220077298A1. Автор: YANG Yong,SRIRAM Mandyam,Gandikota Srinivas,Yang Yixiong,Wrench Jacqueline S.,HUNG Steven C. H.. Владелец: APPLIED MATERIAL, INC.. Дата публикации: 2022-03-10.

HIGH-K METAL GATE

Номер патента: US20140183653A1. Автор: CHAMBERS James Joseph,NIIMI Hiroaki. Владелец: . Дата публикации: 2014-07-03.

NOVEL E-FUSE DESIGN FOR HIGH-K METAL-GATE TECHNOLOGY

Номер патента: US20150179753A1. Автор: Flachowsky Stefan,Boschke Roman,Wiatr Maciej,Schippel Christian. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-06-25.

SEMICONDUCTOR DEVICE COMPRISING FERROELECTRIC ELEMENTS AND FAST HIGH-K METAL GATE TRANSISTORS

Номер патента: US20160204219A1. Автор: Baars Peter,Schloesser Till. Владелец: . Дата публикации: 2016-07-14.

Integrated High-K/Metal Gate in CMOS Process Flow

Номер патента: US20160293490A1. Автор: CHEN Ryan Chia-Jen,Mor Yi-Shien,Lin Yih-Ann,Chen Chien-Hao,Huang Kuo-Tai,Chen Yi-Hsing,Lin Jr-Jung. Владелец: . Дата публикации: 2016-10-06.

HIGH-K METAL GATE DEVICE AND MANUFATURING METHOD THEREOF

Номер патента: US20170345722A1. Автор: JING Xubin,He Zhibin. Владелец: . Дата публикации: 2017-11-30.

HIGH-K METAL GATE DEVICE AND MANUFATURING METHOD THEREOF

Номер патента: US20170345723A1. Автор: JING Xubin,He Zhibin. Владелец: . Дата публикации: 2017-11-30.

High-k metal gate devices and methods for making the same

Номер патента: US20080290416A1. Автор: Chen-Hua Yu,Cheng-Tung Lin,Liang-Gi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-11-27.

MOS transistor with high k gate dielectric

Номер патента: US20040135218A1. Автор: Zhizhang Chen,Hung Liao. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2004-07-15.

Fabrication of fully depleted field effect transistor with high-K gate dielectric in SOI technology

Номер патента: US6395589B1. Автор: Bin Yu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-05-28.

FinFET device with Silicon-Germanium alloy layer

Номер патента: GB2499314A. Автор: Andres Bryant,Edward Nowak,Brent Alan Anderson. Владелец: International Business Machines Corp. Дата публикации: 2013-08-14.

Protective trench layer and gate spacer in finFET devices

Номер патента: US09530665B2. Автор: Effendi Leobandung,Richard S. Wise. Владелец: International Business Machines Corp. Дата публикации: 2016-12-27.

Metal gate finFET device

Номер патента: US09461041B2. Автор: Yu-Lin Yang,Chih Chieh Yeh,Li-Shyue Lai,Tsu-Hsiu Perng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-04.

FinFET device and method

Номер патента: US09679992B2. Автор: Sun-Jay Chang,Chia-Hsin Hu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-13.

Methods of forming a finfet semiconductor device with undoped fins

Номер патента: US20150123214A1. Автор: Teck Jung Tang,Andy C. Wei,Akshey Sehgal,Francis M. Tambwe,Seung Y. Kim. Владелец: Globalfoundries Inc. Дата публикации: 2015-05-07.

Memory device with metal gate

Номер патента: US11063058B2. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Ya-Chen Kao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-07-13.

Nanosheet device with tri-layer bottom dielectric isolation

Номер патента: GB2625968A. Автор: Reznicek Alexander,Miao Xin,Zhang Jingyun,Lee Choonghyun. Владелец: International Business Machines Corp. Дата публикации: 2024-07-03.

FinFET device for device characterization

Номер патента: US09960274B2. Автор: Fu-Liang Yang,Hao-Yu Chen,Chang-Yun Chang,Cheng-Chuan Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-01.

Semiconductor device and method of manufacturing gate stack

Номер патента: GB2484639A. Автор: Huicai Zhong,Qingqing Liang,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-04-18.

Embedded flash memory device with floating gate embedded in a substrate

Номер патента: US11903191B2. Автор: Harry-Hak-Lay Chuang,Wei Cheng Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Semiconductor device structure with metal gate stack

Номер патента: US11929413B2. Автор: Chih-Hao Wang,Kuo-Cheng Chiang,Jia-Chuan You,Huan-Chieh Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-12.

Integrated circuit product comprising lateral and vertical FinFet devices

Номер патента: US09443976B1. Автор: Andreas Knorr,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-13.

Devices and methods of forming unmerged epitaxy for finfet device

Номер патента: US20180097089A1. Автор: Hui Zang,Bingwu Liu. Владелец: Globalfoundries Inc. Дата публикации: 2018-04-05.

Devices and methods of forming unmerged epitaxy for FinFET device

Номер патента: US09853128B2. Автор: Hui Zang,Bingwu Liu. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

Stress enhanced finfet devices

Номер патента: US20140264496A1. Автор: Shom Ponoth,Theodorus E. Standaert,Kangguo Cheng,Tenko Yamashita,Balasubramanian S. Haran. Владелец: International Business Machines Corp. Дата публикации: 2014-09-18.

Gate stack for metal gate transistor

Номер патента: US20220069091A1. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2022-03-03.

Method for forming nanowires including multiple integrated devices with alternate channel materials

Номер патента: US09831131B1. Автор: Ajey P. Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-28.

Trench epitaxial growth for a FinFET device having reduced capacitance

Номер патента: US09755031B2. Автор: Qing Liu,Xiuyu Cai,Ruilong Xie,Chun-Chen Yeh. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-09-05.

Finfet device and method of forming same

Номер патента: US20240379853A1. Автор: Shih-Chieh Chang,Shahaji B. More. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

FinFET Device Structure and Methods of Making Same

Номер патента: US20150118815A1. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Yu-Chao Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-04-30.

Gate stack for metal gate transistor

Номер патента: US11862453B2. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2024-01-02.

Gate stack for metal gate transistor

Номер патента: US20240088235A1. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2024-03-14.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US09685521B2. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Isolation of bulk FET devices with embedded stressors

Номер патента: US09761722B1. Автор: Hemanth Jagannathan,Nicolas J. Loubet. Владелец: International Business Machines Corp. Дата публикации: 2017-09-12.

Vertical fet devices with multiple channel lengths

Номер патента: US20180005896A1. Автор: Rajasekhar Venigalla,Reinaldo A. Vega,Hari V. Mallela. Владелец: International Business Machines Corp. Дата публикации: 2018-01-04.

Semiconductor device with fin transistors and manufacturing method of such semiconductor device

Номер патента: US09741814B2. Автор: Koichi Matsumoto. Владелец: Sony Corp. Дата публикации: 2017-08-22.

Asymmetric fin trimming for fins of finfet device

Номер патента: US20210366776A1. Автор: Min Gyu Sung,Johannes M. van Meer. Владелец: Applied Materials Inc. Дата публикации: 2021-11-25.

Trench epitaxial growth for a finfet device having reduced capacitance

Номер патента: US20160181381A1. Автор: Qing Liu,Xiuyu Cai,Ruilong Xie,Chun-Chen Yeh. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-06-23.

Methods of forming 3d devices with dielectric isolation and a strained channel region

Номер патента: US20160118472A1. Автор: YI Qi. Владелец: Globalfoundries Inc. Дата публикации: 2016-04-28.

Methods of forming strained and relaxed germanium fins for PMOS and NMOS finFET devices, respectively

Номер патента: US09455199B1. Автор: Ajey Poovannummoottil Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-27.

Metal gate transistors

Номер патента: SG161181A1. Автор: Han Jin-Ping,James Lee Yong Meng,Thean Voon-Yew. Владелец: Infineon Technologies Corp. Дата публикации: 2010-05-27.

SALICIDED STRUCTURE TO INTEGRATE A FLASH MEMORY DEVICE WITH A HIGH K, METAL GATE LOGIC DEVICE

Номер патента: US20160013197A1. Автор: Liu Ming Chyi. Владелец: . Дата публикации: 2016-01-14.

RECESSED SALICIDE STRUCTURE TO INTEGRATE A FLASH MEMORY DEVICE WITH A HIGH K, METAL GATE LOGIC DEVICE

Номер патента: US20160013198A1. Автор: Liu Ming Chyi. Владелец: . Дата публикации: 2016-01-14.

STRUCTURE AND METHOD FOR FORMING A LOW GATE RESISTANCE HIGH-K METAL GATE TRANSISTOR DEVICE

Номер патента: US20140110790A1. Автор: Wong Keith Kwong Hon,HUANG Jingyan. Владелец: . Дата публикации: 2014-04-24.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150187653A1. Автор: KIRKPATRICK BRIAN K.,NIIMI Hiroaki. Владелец: . Дата публикации: 2015-07-02.

METHOD OF FORMING HIGH K METAL GATE

Номер патента: US20150069518A1. Автор: HAN QIUHUA. Владелец: . Дата публикации: 2015-03-12.

INTEGRATION OF A MEMORY TRANSISTOR INTO HIGH-K, METAL GATE CMOS PROCESS FLOW

Номер патента: US20180166452A1. Автор: Ramkumar Krishnaswamy. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2018-06-14.

REDUCED THRESHOLD VOLTAGE-WIDTH DEPENDENCY IN TRANSISTORS COMPRISING HIGH-K METAL GATE ELECTRODE STRUCTURES

Номер патента: US20150228490A1. Автор: Hoentschel Jan,Scheiper Thilo,Langdon Steven. Владелец: . Дата публикации: 2015-08-13.

INTEGRATION OF A MEMORY TRANSISTOR INTO HIGH-K, METAL GATE CMOS PROCESS FLOW

Номер патента: US20170278853A1. Автор: Ramkumar Krishnaswamy. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2017-09-28.

Resistive device for high-k metal gate technology

Номер патента: US8334572B2. Автор: Harry Chuang,Kong-Beng Thei,Sheng-Chen Chung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-12-18.

A kind of method for forming high-k/metal gate

Номер патента: CN103811319B. Автор: 陈勇,何有丰. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-06-08.

Method for reducing high K metal gate device threshold voltage fluctuation

Номер патента: CN105304568A. Автор: 景旭斌,何志斌. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2016-02-03.

METAL VERTICAL TRANSFER GATE WITH HIGH-K DIELECTRIC PASSIVATION LINING

Номер патента: US20200411585A1. Автор: Chen Gang,Sun Shiyu,HUANG Chiao-Ti. Владелец: . Дата публикации: 2020-12-31.

Metal vertical transfer gate with high-k dielectric passivation lining

Номер патента: US11121169B2. Автор: Gang Chen,Shiyu Sun,Chiao-Ti HUANG. Владелец: Omnivision Technologies Inc. Дата публикации: 2021-09-14.

Memory cell with high-k charge trapping layer

Номер патента: US9449985B1. Автор: Peter Rabkin,Johann Alsmeier,Masaaki Higashitani,Jayavel Pachamuthu. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-09-20.

PARTIAL SACRIFICIAL DUMMY GATE WITH CMOS DEVICE WITH HIGH-K METAL GATE

Номер патента: US20150187897A1. Автор: Wong Keith Kwong Hon,Guo Dechao,Han Shu-Jen,Lu Yu,Haensch Wilfried E.,Jaeger Daniel J. Владелец: . Дата публикации: 2015-07-02.

TRANSISTOR DEVICES WITH HIGH-K INSULATION LAYERS

Номер патента: US20150340362A1. Автор: Flachowsky Stefan,Kessler Matthias,GERHARDT Martin. Владелец: . Дата публикации: 2015-11-26.

METHOD OF FABRICATING DUAL HIGH-K METAL GATES FOR MOS DEVICES

Номер патента: US20150021705A1. Автор: Lin Kang-Cheng,Huang Kuo-Tai,Hsu Peng-Fu. Владелец: . Дата публикации: 2015-01-22.

Integrated High-K/Metal Gate In CMOS Process Flow

Номер патента: US20150061031A1. Автор: Lin Jr Jung,Mor Yi-Shien,Lin Yih-Ann,Chen Chien-Hao,Huang Kuo-Tai,Chen Yi-Hsing,Chen Ray Chia-Jen. Владелец: . Дата публикации: 2015-03-05.

Non-volatile semiconductor memory device with alternative metal gate material

Номер патента: US20080217677A1. Автор: Sang-Hun Jeon,Chung-woo Kim,Jeong-hee Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-09-11.

Integrated metal gate CMOS devices

Номер патента: US09899264B2. Автор: Dechao Guo,Vijay Narayanan,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2018-02-20.

SINGLE EVENT EFFECT EVALUATION METHOD FOR FinFET DEVICE

Номер патента: LU503388B1. Автор: Ru Huang,Xia An,Zhexuan REN,Gensong LI. Владелец: Univ Beijing. Дата публикации: 2023-07-25.

Metal gate stack with etch stop layer having implanted metal species

Номер патента: US20020132415A1. Автор: Srikanteswara Dakshina-Murthy,Paul Besser. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-09-19.

Memory device with magnetic tunnel junction

Номер патента: US20240355912A1. Автор: Wei-Jen Chen,Chih-Lin Wang,Chee-Wee Liu,Pang-Chun Liu,Ya-Jui Tsou,Shao-Yu LIN. Владелец: National Taiwan University NTU. Дата публикации: 2024-10-24.

Method for Producing MIM Capacitors with High K Dielectric Materials and Non-Noble Electrodes

Номер патента: US20130285205A1. Автор: Pragati Kumar,Hanhong Chen. Владелец: Elpida Memory Inc. Дата публикации: 2013-10-31.

One Time Programmable Structure Using a Gate Last High-K Metal Gate Process

Номер патента: US20130082347A1. Автор: WEI Xia,Xiangdong Chen. Владелец: Broadcom Corp. Дата публикации: 2013-04-04.

LOGIC HIGH-K/METAL GATE 1T-1C RRAM MTP/OTP DEVICES

Номер патента: US20160093672A1. Автор: Li Xia,Perry,Kang Seung Hyuk,JR. Daniel Wayne. Владелец: . Дата публикации: 2016-03-31.

Creating An Embedded ReRam Memory From A High-K Metal Gate Transistor Structure

Номер патента: US20150236260A1. Автор: Chiang Tony P.,Pramanik Dipankar,Lazovsky David E.. Владелец: . Дата публикации: 2015-08-20.

Creating An Embedded ReRam Memory From A High-K Metal Gate Transistor Structure

Номер патента: US20140319449A1. Автор: Chiang Tony P.,Pramanik Dipankar,Lazovsky David E. Владелец: . Дата публикации: 2014-10-30.

Methods of bandgap analysis and modeling for high k metal gate

Номер патента: US20190242938A1. Автор: Qiang Zhao,Min Dai,Dawei Hu,Dominic Schepis,Ming Di. Владелец: KLA Tencor Corp. Дата публикации: 2019-08-08.

Methods of bandgap analysis and modeling for high k metal gate

Номер патента: US20190242938A1. Автор: Qiang Zhao,Min Dai,Dawei Hu,Dominic Schepis,Ming Di. Владелец: KLA Tencor Corp. Дата публикации: 2019-08-08.

Method for Producing MIM Capacitors with High K Dielectric Materials and Non-Noble Electrodes

Номер патента: US20130285205A1. Автор: Chen Hanhong,Kumar Pragati. Владелец: . Дата публикации: 2013-10-31.

METAL GATE AND HIGH-K DIELECTRIC DEVICES WITH PFET CHANNEL SiGe

Номер патента: US20110068369A1. Автор: Kangguo Cheng,Bruce B. Doris,Keith Kwong Hon Wong. Владелец: International Business Machines Corp. Дата публикации: 2011-03-24.

Method for integration of dual metal gates and dual high-k dielectrics in cmos devices

Номер патента: US20120094447A1. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-04-19.

Finfet device

Номер патента: US20130119481A1. Автор: Robert C. Wong,Shahid A. Butt. Владелец: International Business Machines Corp. Дата публикации: 2013-05-16.

Finfet device

Номер патента: US20140175564A1. Автор: Robert C. Wong,Shahid A. Butt. Владелец: International Business Machines Corp. Дата публикации: 2014-06-26.

Method of making a FinFET device

Номер патента: US09929153B2. Автор: Ming-Feng Shieh,Hung-Chang Hsieh,Tzung-Hua Lin,Weng-Hung Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-27.

Device for high-K and metal gate stacks

Номер патента: US09711415B2. Автор: Wei Cheng Wu,Bao-Ru Young,Hak-Lay Chuang,Sheng-Chen Chung,Jyun-Ming Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

FinFET device and fabrication method thereof

Номер патента: US09514994B2. Автор: Jianhua Ju. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-12-06.

Method of fabricating a flash memory comprising a high-K dielectric and a metal gate

Номер патента: US8822286B2. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-09-02.

Methods and Circuits for Achieving Rational Fractional Drive Currents in Circuits Employing FinFET Devices

Номер патента: US20130099856A1. Автор: David S. Doman. Владелец: Globalfoundries Inc. Дата публикации: 2013-04-25.

High-frequency semiconductor device with protection device

Номер патента: US5719428A. Автор: Wilhelmus G. Voncken,Louis Praamsma. Владелец: US Philips Corp. Дата публикации: 1998-02-17.

Insulating ceramics composition with high-k

Номер патента: CN88102245A. Автор: 朱四海,丹尼尔·C·罗斯. Владелец: Tam Ceramics LLC. Дата публикации: 1988-11-23.

Antenna with high K backing material

Номер патента: US8810474B2. Автор: Mark W. Ingalls. Владелец: Spectrum Control Inc. Дата публикации: 2014-08-19.

Dielectric ceramic with high k, low df and flat tc

Номер патента: EP0315324A2. Автор: Terence C. Dean. Владелец: Tam Ceramics Inc. Дата публикации: 1989-05-10.

Logic high-k/metal gate 1t-1c rram mtp/otp devices

Номер патента: WO2016048681A1. Автор: Xia Li,Seung Hyuk KANG,Daniel Wayne PERRY JR.. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-03-31.

PROGRAMMABLE/RE-PROGRAMMABLE DEVICE IN HIGH-K METAL GATE MOS

Номер патента: US20130229882A1. Автор: Jan Chia-Hong,Hafez Walid M.,Rahman Anisur. Владелец: . Дата публикации: 2013-09-05.

Memory cell using BTI effects in high-k metal gate MOS

Номер патента: US8432751B2. Автор: Walid M. Hafez,Anisur Rahman,Chia-Hong Jan. Владелец: Intel Corp. Дата публикации: 2013-04-30.

Memory cell using bti effects in high-k metal gate mos

Номер патента: TWI470633B. Автор: Anisur Rahman,Chia-Hong Jan,Walid M Hafez. Владелец: Intel Corp. Дата публикации: 2015-01-21.

High-k metal gate device structure for human blood gas sensing

Номер патента: US20140300340A1. Автор: Chen Shi,Yanfeng Wang,Sufi Zafar,Steven E. Steen. Владелец: International Business Machines Corp. Дата публикации: 2014-10-09.

High-k metal gate device structure for human blood gas sensing

Номер патента: US20140299922A1. Автор: Chen Shi,Yanfeng Wang,Sufi Zafar,Steven E. Steen. Владелец: International Business Machines Corp. Дата публикации: 2014-10-09.

Memory cell with high-k antifuse for reverse bias programming

Номер патента: WO2007005273A1. Автор: James M. Cleeves. Владелец: SanDisk 3D LLC. Дата публикации: 2007-01-11.

High-k metal gate device structure for human blood gas sensing

Номер патента: US20140299922A1. Автор: Chen Shi,Yanfeng Wang,Sufi Zafar,Steven E. Steen. Владелец: International Business Machines Corp. Дата публикации: 2014-10-09.

HIGH-K METAL GATE DEVICE STRUCTURE FOR HUMAN BLOOD GAS SENSING

Номер патента: US20140300340A1. Автор: Zafar Sufi,Wang Yanfeng,SHI CHEN,Steen Steven E.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-10-09.

RELIABLE NANOFET BIOSENSOR PROCESS WITH HIGH-K DIELECTRIC

Номер патента: US20140054651A1. Автор: Bashir Rashid,Reddy Bobby,Dorvel Brian Ross. Владелец: The Board of Trustees of the University of Illinois. Дата публикации: 2014-02-27.

Photoimageable film with high-k

Номер патента: CN108700804A. Автор: S·韩,W·H·H·伍德沃德,C·沃尔福-古普塔,Y·饶,羽贺满. Владелец: ROHM and HAAS ELECT MATERIALS. Дата публикации: 2018-10-23.

Light with high-k can be imaged film

Номер патента: CN108780277A. Автор: W·H·H·伍德沃德,饶袁桥,C·沃尔福-古普塔. Владелец: Dow Global Technologies LLC. Дата публикации: 2018-11-09.

A kind of liquid-crystal composition and its application with high-k

Номер патента: CN108517218A. Автор: 李珊珊,陈新华,陆嘉文. Владелец: Jingmeisheng Optoelectric Material (nanjing) Co Ltd. Дата публикации: 2018-09-11.

Memory cell with high-k antifuse for reverse bias programming

Номер патента: KR101226172B1. Автор: 제임스 엠. 클리베스. Владелец: 쌘디스크 3디 엘엘씨. Дата публикации: 2013-01-25.

High-k metal oxide thin films, method for forming thereof and devices comprising the same

Номер патента: KR100866305B1. Автор: 강상원,박판귀. Владелец: 한국과학기술원. Дата публикации: 2008-10-31.

Fault injection of finfet devices

Номер патента: US20140282332A1. Автор: Atul Katoch,Cormac Michael O'CONNELL,Saman M. I. Adham. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-09-18.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

METHOD AND STRUCTURE FOR PMOS DEVICES WITH HIGH K METAL GATE INTEGRATION AND SiGe CHANNEL ENGINEERING

Номер патента: US20120181631A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-07-19.

FABRICATION OF SEMICONDUCTORS WITH HIGH-K/METAL GATE ELECTRODES

Номер патента: US20120112281A1. Автор: Pal Rohit,Waidmann Stephan. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-05-10.

STRUCTURE AND METHOD FOR Vt TUNING AND SHORT CHANNEL CONTROL WITH HIGH K/METAL GATE MOSFETs

Номер патента: US20120138953A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-06-07.

Superior Integrity of High-K Metal Gate Stacks by Capping STI Regions

Номер патента: US20120223407A1. Автор: Baars Peter,Scheiper Thilo,Beyer Sven. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-09-06.

MULTIPLE HIGH-K METAL GATE STACKS IN A FIELD EFFECT TRANSISTOR

Номер патента: US20130277766A1. Автор: Carter Richard,Kelwing Torben,Trentzsch Martin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-24.

ENHANCED GATE REPLACEMENT PROCESS FOR HIGH-K METAL GATE TECHNOLOGY

Номер патента: US20130154021A1. Автор: ZHU Ming,Chuang Hak-Lay. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-06-20.

A kind of lateral power with high K insulation layer

Номер патента: CN103219386B. Автор: 郭宇锋,姚佳飞. Владелец: Nanjing Post and Telecommunication University. Дата публикации: 2016-01-20.

Metal Finger Capacitor for High-K Metal Gate Processes

Номер патента: US20130113077A1. Автор: ITO Akira,WOO Agnes Neves,Tran Pascal,Shiau Guang-Jye,Lu Chao-Yang,Wang Jung. Владелец: BROADCOM CORPORATION. Дата публикации: 2013-05-09.

Polysilicon Resistors Formed in a Semiconductor Device Comprising High-K Metal Gate Electrode Structures

Номер патента: US20120049291A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-03-01.

Reduced Threshold Voltage-Width Dependency in Transistors Comprising High-K Metal Gate Electrode Structures

Номер патента: US20120049293A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-03-01.

METHOD OF FABRICATING DUAL HIGH-K METAL GATE FOR MOS DEVICES

Номер патента: US20120086085A1. Автор: Lin Kang-Cheng,Huang Kuo-Tai,Hsu Peng-Fu. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-04-12.

SILICON REMOVAL FROM SURFACES AND METHOD OF FORMING HIGH K METAL GATE STRUCTURES USING SAME

Номер патента: US20120135590A1. Автор: . Владелец: ADVANCED TECHNOLOGY MATERIALS, INC.. Дата публикации: 2012-05-31.

HIGH-K METAL GATE DEVICE

Номер патента: US20120146160A1. Автор: Tan Shyue Seng,Yin Chunshan. Владелец: GLOBALFOUNDRIES Singapore Pte. Ltd.. Дата публикации: 2012-06-14.

High-K Metal Gate Electrode Structures Formed by Cap Layer Removal Without Sacrificial Spacer

Номер патента: US20120161243A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-06-28.

MEMORY CELL USING BTI EFFECTS IN HIGH-K METAL GATE MOS

Номер патента: US20120163103A1. Автор: Jan Chia-Hong,Hafez Walid M.,Rahman Anisur. Владелец: . Дата публикации: 2012-06-28.

METHOD FOR FABRICATING A HIGH-K METAL GATE MOS

Номер патента: US20120164824A1. Автор: JIANG LI,Li Mingqi. Владелец: Semiconductor Manufacturing International (Shanghai) Corporation. Дата публикации: 2012-06-28.

STRUCTURE AND METHOD FOR REDUCTION OF VT-W EFFECT IN HIGH-K METAL GATE DEVICES

Номер патента: US20120187522A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-07-26.

HIGH-K METAL GATE DEVICE

Номер патента: US20120292719A1. Автор: TEH Young Way,AQUILINO Michael V.,SHEIKH Arifuzzaman (Arif),TAN Yun Ling,ZHANG Hao,NAIR Deleep R.,LI Jinghong H. (John). Владелец: . Дата публикации: 2012-11-22.

DUMMY PATTERNS FOR IMPROVING WIDTH DEPENDENT DEVICE MISMATCH IN HIGH-K METAL GATE PROCESS

Номер патента: US20130009250A1. Автор: Hsu Tse-Hsiang,Ko Ching-Chung,LEE Tung-Hsing. Владелец: MEDIATEK INC.. Дата публикации: 2013-01-10.

HIGH-K METAL GATE ELECTRODE STRUCTURES FORMED BY EARLY CAP LAYER ADAPTATION

Номер патента: US20130034942A1. Автор: Wei Andy,Pal Rohit,Beyer Sven,Carter Richard. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-02-07.

HIGH-K METAL GATE RANDOM ACCESS MEMORY

Номер патента: US20130168751A1. Автор: LEE TZUNG-HAN,HUANG CHUNG-LIN,Chu Ron Fu. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2013-07-04.

CREATING AN EMBEDDED RERAM MEMORY FROM A HIGH-K METAL GATE TRANSISTOR STRUCTURE

Номер патента: US20130221317A1. Автор: Chiang Tony P.,Pramanik Dipankar,Lazosky David. Владелец: Intermolecular, Inc. Дата публикации: 2013-08-29.

High-K metal gate CMOS device and forming method thereof

Номер патента: CN105448831A. Автор: 库尔班·阿吾提. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-03-30.

Fin-shaped active area is prepared the method for high-K metal gate

Номер патента: CN103295889B. Автор: 严钧华,张明华,丁弋,方精训. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2016-03-02.

METHOD FOR PRODUCING MIM CAPACITORS WITH HIGH K DIELECTRIC MATERIALS AND NON-NOBLE ELECTRODES

Номер патента: US20120214288A1. Автор: . Владелец: . Дата публикации: 2012-08-23.

Ion modification titanium dioxide ceramic material and preparation method with high-k

Номер патента: CN104478431B. Автор: 程晓静,朱建国,李振伟,肖定全,吴家刚. Владелец: Sichuan University. Дата публикации: 2017-10-10.

Cog dielectric with high k.

Номер патента: KR970001262B1. Автор: 살바토르 에이. 브루노,이안 번. Владелец: 이. 아이. 듀폰 디 네모아 앤드 캄파니. Дата публикации: 1997-02-04.

ROM CELL CIRCUIT FOR FINFET DEVICES

Номер патента: US20120001232A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.