DOUBLE PATTERNING METHOD

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Double patterning method

Номер патента: US11177138B2. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-11-16.

Double patterning method

Номер патента: US20200234972A1. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-07-23.

Double Patterning Method

Номер патента: US20170309495A1. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-26.

Double patterning method

Номер патента: US10109497B2. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-10-23.

Double patterning method

Номер патента: US9711372B2. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Double patterning method

Номер патента: US20210143020A1. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-05-13.

Double patterning method

Номер патента: US11482426B2. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-25.

DOUBLE PATTERNING METHOD

Номер патента: US20190051536A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2019-02-14.

DOUBLE PATTERNING METHOD

Номер патента: US20210143020A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2021-05-13.

DOUBLE PATTERNING METHOD

Номер патента: US20140273433A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2014-09-18.

DOUBLE PATTERNING METHOD

Номер патента: US20200234972A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2020-07-23.

Double Patterning Method

Номер патента: US20170309495A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2017-10-26.

DOUBLE PATTERNING METHOD

Номер патента: US20220359222A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2022-11-10.

Double patterning method

Номер патента: US20220359222A1. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Double patterning method

Номер патента: US20190051536A1. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-02-14.

Double patterning method

Номер патента: US10651047B2. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-12.

Double patterning method

Номер патента: US11923202B2. Автор: Jyu-Horng Shieh,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-05.

Patterned feature and multiple patterning method thereof

Номер патента: US09443742B2. Автор: ZHONGSHAN Hong. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-09-13.

Patterning method and manufacturing method of semiconductor device

Номер патента: US11908701B2. Автор: Li-Te Lin,Christine Y OUYANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-20.

Directional patterning method

Номер патента: US20200243336A1. Автор: Po-Chin Chang,Ru-Gun Liu,Wei-Liang Lin,Pinyen Lin,Li-Te Lin,Yu-Tien Shen,Ya-Wen Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-07-30.

Processing method for producing photomask with double patterns and storage medium thereof

Номер патента: US20200152474A1. Автор: Chien-Chin Huang,Shih-Min Tseng. Владелец: Winbond Electronics Corp. Дата публикации: 2020-05-14.

Processing method for producing photomask with double patterns and storage medium thereof

Номер патента: US10957555B2. Автор: Chien-Chin Huang,Shih-Min Tseng. Владелец: Winbond Electronics Corp. Дата публикации: 2021-03-23.

Self-aligned double patterning

Номер патента: US09570305B2. Автор: Tien-I Bao,Chung-Ju Lee,Yu-Sheng CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-14.

Sram layout for double patterning

Номер патента: US20190109143A1. Автор: James Walter Blatchford. Владелец: Texas Instruments Inc. Дата публикации: 2019-04-11.

Double Patterning Strategy for Contact Hole and Trench in Photolithography

Номер патента: US20120034778A1. Автор: Feng-Cheng Hsu,Jian-Hong Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-02-09.

Self-aligned double patterning process for two dimensional patterns

Номер патента: US09437481B2. Автор: Lei Yuan,Jongwook Kye,Youngtag Woo,Jia ZENG. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-06.

Sram layout for double patterning

Номер патента: US20130069168A1. Автор: James Walter Blatchford. Владелец: Texas Instruments Inc. Дата публикации: 2013-03-21.

SRAM layout for double patterning

Номер патента: US11974421B2. Автор: James Walter Blatchford. Владелец: Texas Instruments Inc. Дата публикации: 2024-04-30.

SRAM layout for double patterning

Номер патента: US10840250B2. Автор: James Walter Blatchford. Владелец: Texas Instruments Inc. Дата публикации: 2020-11-17.

SRAM layout for double patterning

Номер патента: US10103153B2. Автор: James Walter Blatchford. Владелец: Texas Instruments Inc. Дата публикации: 2018-10-16.

Sram layout for double patterning

Номер патента: US20160020214A1. Автор: James Walter Blatchford. Владелец: Texas Instruments Inc. Дата публикации: 2016-01-21.

SRAM LAYOUT FOR DOUBLE PATTERNING

Номер патента: US20160020214A1. Автор: BLATCHFORD James Walter. Владелец: . Дата публикации: 2016-01-21.

SRAM LAYOUT FOR DOUBLE PATTERNING

Номер патента: US20210028179A1. Автор: BLATCHFORD James Walter. Владелец: . Дата публикации: 2021-01-28.

SRAM LAYOUT FOR DOUBLE PATTERNING

Номер патента: US20190109143A1. Автор: BLATCHFORD James Walter. Владелец: . Дата публикации: 2019-04-11.

SPACER-DEFINED PROCESS FOR LITHOGRAPHY-ETCH DOUBLE PATTERNING FOR INTERCONNECTS

Номер патента: US20200135542A1. Автор: Felix Nelson,Mignot Yann,De Silva Ekmini Anuja,Thompson Luciana Meli. Владелец: . Дата публикации: 2020-04-30.

SELF-ALIGNED DOUBLE PATTERNING PROCESS FOR METAL ROUTING

Номер патента: US20160293478A1. Автор: Yuan Lei,Kye Jongwook,Levinson Harry J.. Владелец: . Дата публикации: 2016-10-06.

Multiple patterning method

Номер патента: US20190035638A1. Автор: Wen-Yen Chen,Chih-hao Chen,Cheng-Li Fan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-01-31.

Self Aligned Multiple Patterning Method

Номер патента: US20230290676A1. Автор: Michael Murphy,David Power,Jodi Grzeskowiak,David Conklin. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-14.

Self aligned multiple patterning method

Номер патента: WO2023172316A1. Автор: Michael Murphy,David Power,Jodi Grzeskowiak,David Conklin. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2023-09-14.

Self-aligned double patterning process for metal routing

Номер патента: US9536778B2. Автор: Lei Yuan,Jongwook Kye,Harry J Levinson. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-03.

Patterning method

Номер патента: US20190318929A1. Автор: Li-Chiang Chen,Cheng-Yu Wang,Ming-Feng Kuo,Fu-Che Lee,Yu-Chen Chuang,Hsien-Shih Chu. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2019-10-17.

Patterning Method

Номер патента: US20200075335A1. Автор: Frederic LAZZARINO,Victor M. Blanco. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2020-03-05.

Patterning method

Номер патента: US10373829B1. Автор: Chih-Sheng Chang,Tsung-Yin HSIEH. Владелец: United Microelectronics Corp. Дата публикации: 2019-08-06.

Patterning method

Номер патента: US20220223415A1. Автор: Frederic LAZZARINO,Victor M. Blanco. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2022-07-14.

In-situ spacer reshaping for self-aligned multi-patterning methods and systems

Номер патента: US20180061640A1. Автор: Akiteru Ko,Angelique RALEY,Eric Chih-Fang Liu. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-03-01.

Self-aligned double patterning with spacer-merge region

Номер патента: US11749529B2. Автор: ZHENG Xu,Kafai Lai,Rasit Onur Topaloglu,Dongbing Shao. Владелец: International Business Machines Corp. Дата публикации: 2023-09-05.

Self-aligned double patterning with spacer-merge region

Номер патента: US20210272806A1. Автор: ZHENG Xu,Kafai Lai,Rasit Onur Topaloglu,Dongbing Shao. Владелец: International Business Machines Corp. Дата публикации: 2021-09-02.

Patterning method and method for fabricating dual damascene opening

Номер патента: US20120122035A1. Автор: Yu-Tsung Lai,Jiunn-Hsiung Liao,Ming-Da Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2012-05-17.

Metal fill optimization for self-aligned double patterning

Номер патента: US09735029B1. Автор: Lawrence A. Clevenger,Myung-Hee Na,Albert M. Chu,Ximeng Guan. Владелец: International Business Machines Corp. Дата публикации: 2017-08-15.

Method of self-aligned double patterning

Номер патента: US10734284B2. Автор: Chieh-Te Chen,Feng-Yi Chang,Fu-Che Lee,Ying-Chih Lin,Gang-Yi Lin,Yi-Ching Chang. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2020-08-04.

Contact patterning method with transition etch feedback

Номер патента: SG190499A1. Автор: Chen Fang,Gutmann Alois,Sung-Chul Park,Byung-Goo Jeon,Edleman Nikki. Владелец: Globalfoundries Sg Pte Ltd. Дата публикации: 2013-06-28.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240234144A9. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-11.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240234145A9. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-11.

Self-Aligned Double Patterning

Номер патента: US20160013103A1. Автор: Ming-Chung Liang,Kuan-Wei Huang,Chia-Ying Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-14.

Self-aligned Double Patterning

Номер патента: US20150111380A1. Автор: BAO Tien-I,Lee Chung-Ju,Chang Yu-Sheng. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2015-04-23.

PROCESSING METHOD FOR PRODUCING PHOTOMASK WITH DOUBLE PATTERNS AND STORAGE MEDIUM THEREOF

Номер патента: US20200152474A1. Автор: Huang Chien-Chin,Tseng Shih-Min. Владелец: WINBOND ELECTRONICS CORP.. Дата публикации: 2020-05-14.

SELF-ALIGNED LITHO-ETCH DOUBLE PATTERNING

Номер патента: US20200185269A1. Автор: Yang Jie,Mignot Yann,Chen Hsueh-Chung,Xu Yongan. Владелец: . Дата публикации: 2020-06-11.

A patterning method

Номер патента: EP4030465B1. Автор: Frederic LAZZARINO,Victor M BLANCO. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-10-02.

Method for manufacturing semiconductor device and patterning method

Номер патента: US20230298890A1. Автор: Masayuki Kitamura. Владелец: Kioxia Corp. Дата публикации: 2023-09-21.

Patterning Method of Semiconductor Device

Номер патента: US20090111059A1. Автор: Cheol Hoon Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-04-30.

Line-end cutting method for fin structures of FinFETs formed by double patterning technology

Номер патента: US09536987B2. Автор: Ming Li,Chunyan Yi. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2017-01-03.

Patterning method

Номер патента: US20210134810A1. Автор: Keng-Ping Lin,Tzu-Ming Ou Yang. Владелец: Winbond Electronics Corp. Дата публикации: 2021-05-06.

Wafer Bonding Edge Protection Using Double Patterning With Edge Exposure

Номер патента: US20170317052A1. Автор: Joshua M. Rubin. Владелец: International Business Machines Corp. Дата публикации: 2017-11-02.

Wafer bonding edge protection using double patterning with edge exposure

Номер патента: US09741684B2. Автор: Joshua M. Rubin. Владелец: International Business Machines Corp. Дата публикации: 2017-08-22.

Pattern-split decomposition strategy for double-patterned lithography process

Номер патента: WO2012119098A3. Автор: James Walter Blatchford. Владелец: Texas Instruments Japan Limited. Дата публикации: 2012-11-08.

Self-aligned Double Patterning

Номер патента: US20160104619A1. Автор: BAO Tien-I,Lee Chung-Ju,Chang Yu-Sheng. Владелец: . Дата публикации: 2016-04-14.

SELF-ALIGNED DOUBLE PATTERNING WITH SPACER-MERGE REGION

Номер патента: US20220181154A1. Автор: Xu Zheng,LAI Kafai,Shao Dongbing,Topaloglu Rasit Onur. Владелец: . Дата публикации: 2022-06-09.

Method of Fine Line Space Resolution Lithography For Integrated Circuit Features Using Double Patterning Technology

Номер патента: US20170194198A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2017-07-06.

Variable space mandrel cut for self aligned double patterning

Номер патента: US20180233404A1. Автор: Jinping Liu,Jiehui SHU,Byoung Youp Kim. Владелец: Globalfoundries Inc. Дата публикации: 2018-08-16.

Wafer Bonding Edge Protection Using Double Patterning With Edge Exposure

Номер патента: US20170317052A1. Автор: Joshua M. Rubin. Владелец: International Business Machines Corp. Дата публикации: 2017-11-02.

Narrowed feature formation during a double patterning process

Номер патента: US20180323067A1. Автор: John H. Zhang,Xiaoqiang Zhang,Jiehui SHU,Xusheng Yu. Владелец: Globalfoundries Inc. Дата публикации: 2018-11-08.

Patterning method

Номер патента: US20210335664A1. Автор: Frederic LAZZARINO,Victor M. Blanco. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-10-28.

Photo pattern method to increase via etching rate

Номер патента: US20170062270A1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2017-03-02.

Photo pattern method to increase via etching rate

Номер патента: US20160372401A1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2016-12-22.

Photo pattern method to increase via etching rate

Номер патента: US09741607B2. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2017-08-22.

Photo pattern method to increase via etching rate

Номер патента: US09536808B1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Zheng-Chang MU. Владелец: Macronix International Co Ltd. Дата публикации: 2017-01-03.

PSPI-based patterning method for RDL

Номер патента: US11749668B2. Автор: Changoh Kim,JinHee Jung. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2023-09-05.

A patterning method

Номер патента: EP3901987A1. Автор: Frederic LAZZARINO,Victor M BLANCO. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2021-10-27.

Patterning method and method for fabricating dual damascene opening

Номер патента: US20120122035A1. Автор: Yu-Tsung Lai,Jiunn-Hsiung Liao,Ming-Da Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2012-05-17.

Double Patterning Method Of Forming Semiconductor Active Areas And Isolation Regions

Номер патента: US20150206788A1. Автор: Chien-Sheng Su,Jeng-Wei Wang. Владелец: Silicon Storage Technology Inc. Дата публикации: 2015-07-23.

Self-aligned double patterning

Номер патента: US12068167B2. Автор: Jyu-Horng Shieh,Yu-Yu Chen,Kuan-Wei Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Patterning mask and method of formation of mask using step double patterning

Номер патента: US20110217843A1. Автор: Anton Devilliers,Michael Hyatt. Владелец: Individual. Дата публикации: 2011-09-08.

Self-aligned double patterning

Номер патента: US11784056B2. Автор: Jyu-Horng Shieh,Yu-Yu Chen,Kuan-Wei Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-10.

SELF-ALIGNED DOUBLE PATTERNING PROCESS AND SEMICONDUCTOR STRUCTURE FORMED USING THEREOF

Номер патента: US20210035809A1. Автор: Wang Yu-Wen,Tzeng Kuo-Chyuan. Владелец: . Дата публикации: 2021-02-04.

SELF-ALIGNED DOUBLE PATTERNING PROCESS AND SEMICONDUCTOR STRUCTURE FORMED USING THEREOF

Номер патента: US20200058514A1. Автор: Wang Yu-Wen,Tzeng Kuo-Chyuan. Владелец: . Дата публикации: 2020-02-20.

METAL FILL OPTIMIZATION FOR SELF-ALIGNED DOUBLE PATTERNING

Номер патента: US20180082854A1. Автор: Clevenger Lawrence A.,Chu Albert M.,Na Myung-Hee,GUAN Ximeng. Владелец: . Дата публикации: 2018-03-22.

Self-aligned double patterning

Номер патента: US20210125836A1. Автор: Jyu-Horng Shieh,Yu-Yu Chen,Kuan-Wei Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-04-29.

Double patterning strategy for contact hole and trench

Номер патента: TWI424469B. Автор: Fengcheng Hsu,Chunkuang Chen. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2014-01-21.

Self-Aligned Double Patterning

Номер патента: US20230282488A1. Автор: Jyu-Horng Shieh,Yu-Yu Chen,Kuan-Wei Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-07.

Contact patterning method with transition etch feedback

Номер патента: US20110183443A1. Автор: FANG CHENG,Nikki Edleman,Alois Gutmann,Sung-Chul Park,Byung-Goo Jeon. Владелец: Individual. Дата публикации: 2011-07-28.

Contact patterning method with transition etch feedback

Номер патента: SG161185A1. Автор: Park Sung-Chul,Fang Chen,Nikki Edleman,Alois Gutmann,Jeon Byung-Goo. Владелец: Infineon Technologies Corp. Дата публикации: 2010-05-27.

Self-aligned double patterning with mandrel manipulation

Номер патента: US20240162090A1. Автор: David Pritchard,Elizabeth Strehlow,Hongru Ren,James Mazza,Romain Feuillette. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-05-16.

Graphene patterning method and patterning member

Номер патента: US9219008B2. Автор: Takashi Matsumoto,Yusaku Kashiwagi. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-12-22.

Graphene patterning method and patterning member

Номер патента: US20140199829A1. Автор: Takashi Matsumoto,Yusaku Kashiwagi. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-07-17.

Double patterning lithography techniques

Номер патента: US20140017899A1. Автор: Matthew L. Tingey,Charles H. Wallace,Swaminathan Sivakumar,Nadia M. Rahhal-Orabi,Chanaka D. Munasinghe. Владелец: Intel Corp. Дата публикации: 2014-01-16.

METHOD OF SELF-ALIGNED DOUBLE PATTERNING

Номер патента: US20190139824A1. Автор: Chang Feng-Yi,Lin Ying-Chih,Chen Chieh-Te,Lee Fu-Che,Chang Yi-Ching,LIN Gang-Yi. Владелец: . Дата публикации: 2019-05-09.

Self-aligned double patterning (sadp) method

Номер патента: US20200098580A1. Автор: Jui-Yu Pan,Kuo-Chyuan Tzeng,Lee-Chuan Tseng,Ying-Hua Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Patterning method

Номер патента: US20090081817A1. Автор: Min-Chieh Yang,Jiunn-Hsiung Liao,Lung-En Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2009-03-26.

Film patterning method

Номер патента: US20170084451A1. Автор: Li Li,Zhilong PENG,Wukun Dai,Yiping Dong. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-03-23.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A1. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-17.

Patterning method

Номер патента: US10460939B1. Автор: Feng-Yi Chang,Fu-Che Lee,Hsin-Yu Chiang. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2019-10-29.

Methods of fabricating semiconductor devices having double patterning technology

Номер патента: US20140154885A1. Автор: Jinhyun Shin,Jae-Hwang Sim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-06-05.

Method for forming semiconductor device structure using double patterning

Номер патента: US20180145145A1. Автор: Yi-Wei Chiu,Po-Ju Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-24.

METAL SPACER SELF ALIGNED DOUBLE PATTERNING WITH AIRGAP INTEGRATION

Номер патента: US20200135537A1. Автор: Clevenger Lawrence A.,Kelly James,Mignot Yann,Chen Hsueh-Chung,Peethala Cornelius Brown. Владелец: . Дата публикации: 2020-04-30.

Spacer formation for array double patterning

Номер патента: KR101698616B1. Автор: 에스 엠 레자 사드자디,아미트 자인. Владелец: 램 리써치 코포레이션. Дата публикации: 2017-01-20.

Method for off-grid routing structures utilizing self aligned double patterning (SADP) technology

Номер патента: US8921225B2. Автор: Lei Yuan,Harry Levinson,Jongwook Kye. Владелец: Globalfoundries Inc. Дата публикации: 2014-12-30.

Method for off-grid routing structures utilizing self aligned double patterning technology

Номер патента: CN104064515B. Автор: J·桂,袁磊,H·J·莱文森. Владелец: Globalfoundries Inc. Дата публикации: 2017-04-12.

Method of forming semiconductor devices employing double patterning

Номер патента: US20100178773A1. Автор: Jong-Sun Sel,Nam-su Lim,In-wook Oh. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-07-15.

Patterning method for semiconductor device and structures resulting therefrom

Номер патента: US20200006082A1. Автор: Yi-Nien Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Method for double patterning a thin film

Номер патента: US20080076075A1. Автор: Sandra L. Hyland,Shannon W. Dunn. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-03-27.

Patterning method using electron beam and exposure system configured to perform the same

Номер патента: US09411236B2. Автор: Yongseok Jung,Sanghee Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-08-09.

Azimuthal critical dimension non-uniformity for double patterning process

Номер патента: US20200002815A1. Автор: Ravi Kumar,Adrien Lavoie,Pulkit Agarwal,Frank Loren PASQUALE. Владелец: Lam Research Corp. Дата публикации: 2020-01-02.

Double patterning method

Номер патента: TW201003734A. Автор: Michael Chan. Владелец: SanDisk 3D LLC. Дата публикации: 2010-01-16.

LINE-END CUTTING METHOD FOR FIN STRUCTURES OF FINFETS FORMED BY DOUBLE PATTERNING TECHNOLOGY

Номер патента: US20160254369A1. Автор: Li Ming,YI Chunyan. Владелец: Shanghai IC R & Center Co., Ltd.. Дата публикации: 2016-09-01.

Patterning method and overlay measurement method

Номер патента: US12106962B2. Автор: Chien-Hao Chen,Chia-Chang Hsu,Chun-Chi Yu,Yi Jing Wang,Chang-Mao Wang. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-01.

Photolithography patterning method

Номер патента: US20240176245A1. Автор: Jong-wan Park,Wan-Gyu Lee,Jungchul SONG,Min Jun BAK. Владелец: Korea Advanced Institute of Science and Technology KAIST. Дата публикации: 2024-05-30.

Resist patterning method, latent resist image forming device, and resist material

Номер патента: US20170097570A1. Автор: Seiichi Tagawa. Владелец: Osaka University NUC. Дата публикации: 2017-04-06.

Patterning method

Номер патента: EP1887614A4. Автор: Yoshio Mita,Tarik Bourouina,Federic Marty. Владелец: Advantest Corp. Дата публикации: 2008-12-24.

Double Patterning Method Of Forming Semiconductor Active Areas And Isolation Regions

Номер патента: US20150206788A1. Автор: Su Chien-Sheng,Wang Jeng-Wei. Владелец: Silicon Storage Technology, Inc.. Дата публикации: 2015-07-23.

Double patterning method of forming semiconductor active areas and isolation regions

Номер патента: US9293358B2. Автор: Jeng-Wei Yang,Chien-Sheng Su. Владелец: Silicon Storage Technology Inc. Дата публикации: 2016-03-22.

Self-Aligned Double Patterning

Номер патента: US20160020100A1. Автор: Lee Chia-Ying,Liang Ming-Chung,Huang Kuan-Wei. Владелец: . Дата публикации: 2016-01-21.

Method and Structure of Cut End with Self-Aligned Double Patterning

Номер патента: US20210082698A1. Автор: Liao Wei-Hao,Lee Chung-Ju,TIEN Hsi-Wen,Dai Pin-Ren,Lu Chih Wei. Владелец: . Дата публикации: 2021-03-18.

DOUBLE PATTERNING TECHNIQUES FOR FORMING A DEEP TRENCH ISOLATION STRUCTURE

Номер патента: US20220285203A1. Автор: CHANG Chun-Wei,Chen Yu-Wen,Shiu Feng-Jia,KUO Ching-Sen,CHIU Wei-Chao,LIOU Yong-Jin. Владелец: . Дата публикации: 2022-09-08.

Method and Structure of Cut End with Self-Aligned Double Patterning

Номер патента: US20210183654A1. Автор: Liao Wei-Hao,Lee Chung-Ju,TIEN Hsi-Wen,Dai Pin-Ren,Lu Chih Wei. Владелец: . Дата публикации: 2021-06-17.

Method of printing multiple structure widths using spacer double patterning

Номер патента: US9029263B1. Автор: Ryoung-han Kim,Youn Sung Choi. Владелец: Texas Instruments Inc. Дата публикации: 2015-05-12.

The double patterning process of autoregistration

Номер патента: CN104051255B. Автор: 张海洋,张城龙. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-06-13.

Film forming method and patterning method

Номер патента: US20190074172A1. Автор: Taishi Ebisudani,Yoshio SUSA,Yuko KENGOYAMA. Владелец: ASM IP Holding BV. Дата публикации: 2019-03-07.

FILM FORMING METHOD AND PATTERNING METHOD

Номер патента: US20190074172A1. Автор: KENGOYAMA Yuko,Susa Yoshio,EBISUDANI Taishi. Владелец: ASM IP HOLDING B.V.. Дата публикации: 2019-03-07.

Double-patterning method to improve sidewall uniformity

Номер патента: US12106964B2. Автор: Ting-Wei Wu,Chu-Chun HSIEH,Chih-Jung Ni. Владелец: Winbond Electronics Corp. Дата публикации: 2024-10-01.

Patterning method and semiconductor structure

Номер патента: US11990345B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

SELF-ALIGNED DOUBLE PATTERNING PROCESS FOR TWO DIMENSIONAL PATTERNS

Номер патента: US20160163584A1. Автор: Yuan Lei,Kye Jongwook,Woo Youngtag,Zeng Jia. Владелец: . Дата публикации: 2016-06-09.

DOUBLE METAL DOUBLE PATTERNING WITH VIAS EXTENDING INTO DIELECTRIC

Номер патента: US20200357686A1. Автор: Mignot Yann,Chen Hsueh-Chung. Владелец: . Дата публикации: 2020-11-12.

Layout design for fanout patterns in self-aligned double patterning process

Номер патента: US20190385848A1. Автор: Chin-Cheng Yang,Chi-Hao Huang,Wei-Hung Wang. Владелец: Macronix International Co Ltd. Дата публикации: 2019-12-19.

Layout design for fanout patterns in self-aligned double patterning process

Номер патента: EP3584836B1. Автор: Chin-Cheng Yang,Chi-Hao Huang,Wei-Hung Wang. Владелец: Macronix International Co Ltd. Дата публикации: 2021-10-27.

METHOD FOR OFF-GRID ROUTING STRUCTURES UTILIZING SELF ALIGNED DOUBLE PATTERNING (SADP) TECHNOLOGY

Номер патента: US20150028489A1. Автор: Yuan Lei,Kye Jongwook,LEVINSON Harry. Владелец: . Дата публикации: 2015-01-29.

Wafer Bonding Edge Protection Using Double Patterning With Edge Exposure

Номер патента: US20170053891A1. Автор: Rubin Joshua M.. Владелец: . Дата публикации: 2017-02-23.

Photoresist double patterning

Номер патента: KR101573954B1. Автор: 에스 엠 레자 사드자디,앤드류 알 로마노. Владелец: 램 리써치 코포레이션. Дата публикации: 2015-12-02.

Photoresist double patterning apparatus

Номер патента: US8911587B2. Автор: Andrew R. Romano,S. M. Reza Sadjadi. Владелец: Lam Research Corp. Дата публикации: 2014-12-16.

Photoresist double patterning

Номер патента: TWI447800B. Автор: S M Reza Sadjadi,Andrew R Romano. Владелец: Lam Res Corp. Дата публикации: 2014-08-01.

Two-track cross-connect in double-patterned structure using rectangular via

Номер патента: US9024450B2. Автор: James Walter Blatchford,Scott William Jessen. Владелец: Texas Instruments Inc. Дата публикации: 2015-05-05.

Dummy metal pattern method and apparatus

Номер патента: US20030109120A1. Автор: XIAOJUN Wang. Владелец: Individual. Дата публикации: 2003-06-12.

Dummy metal pattern method and apparatus

Номер патента: TW200301517A. Автор: XIAOJUN Wang. Владелец: Aurora Sys Inc. Дата публикации: 2003-07-01.

Dummy metal pattern method and apparatus

Номер патента: TW571358B. Автор: XIAOJUN Wang. Владелец: Aurora Sys Inc. Дата публикации: 2004-01-11.

Double patterning method

Номер патента: US9431266B2. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2016-08-30.

Double patterning method

Номер патента: US20140024215A1. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2014-01-23.

Double patterning method

Номер патента: US20150056809A1. Автор: Ying Zhang,Kangguo Cheng,Bruce B. Doris,Ali Khakifirooz. Владелец: International Business Machines Corp. Дата публикации: 2015-02-26.

Patterning method and method of manufacturing semiconductor structure

Номер патента: US20230230842A1. Автор: Jie Bai,Juanjuan Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-20.

Self-aligned nand flash select-gate wordlines for spacer double patterning

Номер патента: CN105742163A. Автор: T-S·陈,S·房. Владелец: Cypress Semiconductor Corp. Дата публикации: 2016-07-06.

Patterning method and method of forming memory device

Номер патента: US20140248773A1. Автор: Jen-Hsiang Tsai. Владелец: Winbond Electronics Corp. Дата публикации: 2014-09-04.

Patterning method and method of forming memory device

Номер патента: KR101508368B1. Автор: 전-시앙 차이. Владелец: 윈본드 일렉트로닉스 코포레이션. Дата публикации: 2015-04-07.

Double patterning method to form sub-lithographic pillars

Номер патента: US20150108422A1. Автор: Marcello Mariani,Fabio Pellizzer,Giorgio Servalli. Владелец: Micron Technology Inc. Дата публикации: 2015-04-23.

DOUBLE PATTERNING METHOD TO FORM SUB-LITHOGRAPHIC PILLARS

Номер патента: US20180114813A1. Автор: Mariani Marcello,Servalli Giorgio,Pellizzer Fabio. Владелец: . Дата публикации: 2018-04-26.

Method For Self-Aligned Double Patterning Without Atomic Layer Deposition

Номер патента: US20150214070A1. Автор: deVilliers Anton. Владелец: . Дата публикации: 2015-07-30.

Selective inductive double patterning

Номер патента: KR101631047B1. Автор: 에스 엠 레자 사드자디. Владелец: 램 리써치 코포레이션. Дата публикации: 2016-06-16.

Method of forming self aligned double pattern

Номер патента: KR100714305B1. Автор: 홍창기,윤보언,최재광,권병호,박준상,윤세라. Владелец: 삼성전자주식회사. Дата публикации: 2007-05-02.

Double patterning via triangular shaped sidewall spacers

Номер патента: US8969205B2. Автор: Dae-Han Choi,Hongliang Shen,Dae Geun Yang,Jung Yu Hsieh. Владелец: Globalfoundries Inc. Дата публикации: 2015-03-03.

Patterning Method Using Secondary Resist Surface Functionalization for Mask Formation

Номер патента: US20240030029A1. Автор: Charlotte Cutler,David Conklin. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-01-25.

Method of forming fine patterns by using double patterning

Номер патента: KR101045371B1. Автор: 양현조. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2011-06-30.

Method for Reducing a Minimum Line Width in a Spacer-Defined Double Patterning Process

Номер патента: US20130034962A1. Автор: Liujiang Yu. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2013-02-07.

Method for Optimizing a Critical Dimension for Double Patterning for NAND Flash

Номер патента: US20210020440A1. Автор: HE Li,Huang Guanqun,Ju Xiaohua. Владелец: SHANGHAI HUALI MICROELECTRONICS CORPORATION. Дата публикации: 2021-01-21.

NARROWED FEATURE FORMATION DURING A DOUBLE PATTERNING PROCESS

Номер патента: US20180323067A1. Автор: Zhang John H.,Shu Jiehui,ZHANG Xiaoqiang,Yu Xusheng. Владелец: . Дата публикации: 2018-11-08.

Mask treatment for double patterning design

Номер патента: CN103367119A. Автор: 陈殿豪,陈启平,杨景峰. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-23.

Method for forming self-aligned double pattern, and semiconductor structure

Номер патента: EP4181172A4. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-12-27.

Fine patterning method of semiconductor device

Номер патента: KR970003884B1. Автор: Jin-Soo Han. Владелец: Hyundai Electronics Ind. Дата публикации: 1997-03-22.

Photomask structure and patterning method

Номер патента: US20240304445A1. Автор: Kao-Tun Chen,Li-Chien Wang. Владелец: Winbond Electronics Corp. Дата публикации: 2024-09-12.

METHOD OF FORMING AN ASHABLE HARD MASK AND PATTERNING METHOD

Номер патента: US20210125830A1. Автор: FANG Wei-Chuan. Владелец: . Дата публикации: 2021-04-29.

MULTI-PATTERNING METHOD AND DEVICE FORMED BY THE METHOD

Номер патента: US20150179450A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2015-06-25.

RC Corner Solutions for Double Patterning Technology

Номер патента: US20130275927A1. Автор: Yi-Kan Cheng,Ke-Ying Su,Hsiao-Shu CHAO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-17.

Double patterning method using metallic compound mask layer

Номер патента: US8313889B2. Автор: Chih-Yang Yeh,Hung Chang HSIEH,Vincent Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-11-20.

Manufacturing method of mask for double patterning and double patterning method

Номер патента: CN109917616B. Автор: 杨青. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-07-05.

Metal density distribution for double pattern lithography

Номер патента: WO2014085299A1. Автор: Richard Schultz,Omid Rowhani,Charles Tung. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2014-06-05.

Metal density distribution for double pattern lithography

Номер патента: EP2926364A1. Автор: Richard Schultz,Omid Rowhani,Charles Tung. Владелец: Advanced Micro Devices Inc. Дата публикации: 2015-10-07.

Critical dimension control for double patterning process

Номер патента: US09934985B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-03.

Litho-litho-etch double patterning (LLE-DP) methods

Номер патента: TW201113929A. Автор: Pei-Lin Huang,Yi-Ming Wang,Ying-Chung Tseng. Владелец: Nanya Technology Corp. Дата публикации: 2011-04-16.

Double mask self-aligned double patterning technology (sadpt) process

Номер патента: US20090215272A1. Автор: Lumin Li,Andrew R. Romano,S. M. Reza Sadjadi. Владелец: Lam Research Corp. Дата публикации: 2009-08-27.

METHOD FOR TREATING PHOTORESIST AND SELF-ALIGNED DOUBLE PATTERNING METHOD

Номер патента: US20220148879A1. Автор: XIA Jun,Bai Shijie. Владелец: CHANGXIN MEMORY TECHNOLOGIES, INC.. Дата публикации: 2022-05-12.

Resist pattern protection technique for double patterning application

Номер патента: US8394280B1. Автор: Ling Wang,Hai Sun,Hongping Yuan,Dujiang Wan,Xianzhong Zeng. Владелец: Western Digital Fremont LLC. Дата публикации: 2013-03-12.

Double mask self-aligned double patterning technology (sadpt) process

Номер патента: CN101971291A. Автор: 李路明,S·M·列扎·萨贾迪,安德鲁·R·罗马诺. Владелец: Lam Research Corp. Дата публикации: 2011-02-09.

Double mask self-aligned double patterning technology (SaDPT) process

Номер патента: TW200945438A. Автор: S M Reza Sadjadi,Lumin Li,Romano R Andrew. Владелец: Lam Res Corp. Дата публикации: 2009-11-01.

Double patterning method using tilt-angle deposition

Номер патента: US20130023121A1. Автор: Chwen Yu,Kai-Wen Cheng,Fei-Gwo Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-01-24.

Resist feature and removable spacer pitch doubling patterning method for pillar structures

Номер патента: CN102272888A. Автор: 陈永廷,S.J.拉迪甘. Владелец: SanDisk 3D LLC. Дата публикации: 2011-12-07.

Resist feature and removable spacer pitch doubling patterning method for pillar structures

Номер патента: US20100167520A1. Автор: Steven J. Radigan,Yung-Tin Chen. Владелец: SanDisk 3D LLC. Дата публикации: 2010-07-01.

METHODS OF FABRICATING SEMICONDUCTOR DEVICES HAVING DOUBLE PATTERNING TECHNOLOGY

Номер патента: US20140154885A1. Автор: SIM Jae-hwang,Shin Jinhyun. Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2014-06-05.

CRITICAL DIMENSION CONTROL FOR DOUBLE PATTERNING PROCESS

Номер патента: US20170154886A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2017-06-01.

METHOD TO INCREASE THE PROCESS WINDOW IN DOUBLE PATTERNING PROCESS

Номер патента: US20190181006A1. Автор: YANG Qing. Владелец: . Дата публикации: 2019-06-13.

Self-Aligned Double Patterning With Spatial Atomic Layer Deposition

Номер патента: US20150200110A1. Автор: Xia Li-Qun,LI NING,Marcus Steven D.,Nguyen Victor,Balseanu Mihaela,TANAKA Keiichi. Владелец: . Дата публикации: 2015-07-16.

TWO-COLOR SELF-ALIGNED DOUBLE PATTERNING (SADP) TO YIELD STATIC RANDOM ACCESS MEMORY (SRAM) AND DENSE LOGIC

Номер патента: US20190189457A1. Автор: Shao Dongbing,LIE Fee Li,Wong Robert,Xu Yongan. Владелец: . Дата публикации: 2019-06-20.

IMAGE TRANSFER USING EUV LITHOGRAPHIC STRUCTURE AND DOUBLE PATTERNING PROCESS

Номер патента: US20180204723A1. Автор: Chen Hsueh-Chung,Xu Yongan,Mignot Yann A.M.. Владелец: . Дата публикации: 2018-07-19.

IMAGE TRANSFER USING EUV LITHOGRAPHIC STRUCTURE AND DOUBLE PATTERNING PROCESS

Номер патента: US20180204724A1. Автор: Chen Hsueh-Chung,Xu Yongan,Mignot Yann A.M.. Владелец: . Дата публикации: 2018-07-19.

Critical Dimension Control for Double Patterning Process

Номер патента: US20180226265A1. Автор: Chang Che-Cheng,LIN Chih-Han,Tseng Horng-Huei. Владелец: . Дата публикации: 2018-08-09.

Double patterning process

Номер патента: US8129100B2. Автор: Jun Hatakeyama,Masaki Ohashi,Toshinobu Ishihara,Katsuya Takemura,Kazumi Noda,Mutsuo Nakashima. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2012-03-06.

Double patterning forming method

Номер патента: CN104157553A. Автор: 雷通,周海锋. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2014-11-19.

Metal density distribution for double pattern lithography

Номер патента: EP2926364A4. Автор: Richard Schultz,Omid Rowhani,Charles Tung. Владелец: Advanced Micro Devices Inc. Дата публикации: 2016-08-03.

Patterning method using surface plasmon

Номер патента: US09436091B2. Автор: Min Kang,Yong Son,Hyun-Joo Lee,Bong-Yeon Kim,Dong-Eon Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-09-06.

Film patterning method

Номер патента: TWI227383B. Автор: Yoshihiro Sato,Eiichi Hoshino,Yuuichi Yamamoto,Toshikatsu Minagawa,Masahiro Uraguchi. Владелец: Fujitsu Ltd. Дата публикации: 2005-02-01.

Block patterning method enabling merged space in SRAM with heterogeneous mandrel

Номер патента: US09859125B2. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung,Kwan-Yong Lim. Владелец: Globalfoundries Inc. Дата публикации: 2018-01-02.

Feature Patterning Methods and Structures Thereof

Номер патента: US20110215479A1. Автор: Thomas Schulz,Sergei Postnikov. Владелец: Individual. Дата публикации: 2011-09-08.

Feature Patterning Methods and Structures Thereof

Номер патента: US20100123250A1. Автор: Thomas Schulz,Sergei Postnikov. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2010-05-20.

FINE PATTERNING METHODS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20160043001A1. Автор: Kim Myeongcheol,KIM Sungbong,Kim Gyeong-seop. Владелец: . Дата публикации: 2016-02-11.

Electron-beam exposure method and patterning method using the same

Номер патента: KR100594225B1. Автор: 양승훈. Владелец: 삼성전자주식회사. Дата публикации: 2006-07-03.

Freeze-less methods for self-aligned double patterning

Номер патента: US11747733B2. Автор: Michael Murphy,Charlotte Cutler. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-05.

DOUBLE PATTERNING VIA TRIANGULAR SHAPED SIDEWALL SPACERS

Номер патента: US20140291735A1. Автор: CHOI Dae-Han,Shen Hongliang,YANG Dae Geun,HSIEH Jung Yu. Владелец: GLOBAL FOUNDRIES, Inc.. Дата публикации: 2014-10-02.

Double pattern formation method

Номер патента: JP5967083B2. Автор: 可奈子 目谷,健夫 塩谷,島 基之,基之 島. Владелец: JSR Corp. Дата публикации: 2016-08-10.

Double patterning layout design method

Номер патента: KR102224518B1. Автор: 박재호,정광옥,송태중. Владелец: 삼성전자주식회사. Дата публикации: 2021-03-08.

Method of forming double pattern

Номер патента: KR101881184B1. Автор: 가나코 메야,다케오 시오야,모토유키 시마. Владелец: 제이에스알 가부시끼가이샤. Дата публикации: 2018-07-23.

Freeze-free method for self-aligned double patterning

Номер патента: KR20230125841A. Автор: 샬롯 커틀러,마이클 머피. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2023-08-29.

Patterning method

Номер патента: US20100323521A1. Автор: Chien-Mao Liao,Shing-Yih Shih,Hai-Han Hung,Wei-Cheng Shiu,Ya-Chih Wang. Владелец: Nanya Technology Corp. Дата публикации: 2010-12-23.

Method for forming pattern, method for producing substrate and method for producing mold

Номер патента: TW201220359A. Автор: Tomokazu Umezawa. Владелец: Fujifilm Corp. Дата публикации: 2012-05-16.

Fine patterning method for semiconductor device

Номер патента: KR970000423B1. Автор: Young-Kwon Chon. Владелец: LG Semicon Co Ltd. Дата публикации: 1997-01-09.

Method for forming a pattern, method for producing a substrate, and method for producing a mold

Номер патента: US20130213931A1. Автор: Tomokazu Umezawa. Владелец: Fujifilm Corp. Дата публикации: 2013-08-22.

Method for semiconductor cross pitch doubled patterning process

Номер патента: US20150056810A1. Автор: Lars Heineck,Vinay Nair. Владелец: Nanya Technology Corp. Дата публикации: 2015-02-26.

Spacer double patterning that prints multiple cd in front-end-of-line

Номер патента: US20120043646A1. Автор: Ryoung-han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2012-02-23.

USING A SAME MASK FOR DIRECT PRINT AND SELF-ALIGNED DOUBLE PATTERNING OF NANOSHEETS

Номер патента: US20210020446A1. Автор: Miller Eric,Sieg Stuart,Dechene Daniel James. Владелец: . Дата публикации: 2021-01-21.

SELF-ALIGNED DOUBLE PATTERNING (SADP) METHOD

Номер патента: US20200098580A1. Автор: Tzeng Kuo-Chyuan,Tseng Lee-Chuan,Pan Jui-Yu,Chen Ying-Hua. Владелец: . Дата публикации: 2020-03-26.

Spacer double patterning that prints multiple CD in front-end-of-line

Номер патента: US8450833B2. Автор: Ryoung-han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2013-05-28.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240136185A1. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-04-25.

Method of manufacturing memory device using self-aligned double patterning (sadp)

Номер патента: US20240136186A1. Автор: Chih-Ching Lin. Владелец: Nanya Technology Corp. Дата публикации: 2024-04-25.

Fine patterning method of semiconductor device

Номер патента: KR970009618B1. Автор: Sung-Min Hwang,Chan-Kwang Park,Yo-Han KO,Kwang-Myung Noh. Владелец: Hyundai Electronics Ind. Дата публикации: 1997-06-14.

Double patterned stacking technique

Номер патента: US20150287709A1. Автор: Son Le,Ohsang Kwon,HariKrishna Chintarlapalli Reddy,Vijayalakshmi Ranganna. Владелец: Qualcomm Inc. Дата публикации: 2015-10-08.

Double patterning process

Номер патента: US8129099B2. Автор: Kazuhiro Katayama,Jun Hatakeyama,Tsunehiro Nishi,Toshinobu Ishihara,Katsuya Takemura. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2012-03-06.

Finfet formation using double patterning memorization

Номер патента: US20140141605A1. Автор: Jin Cho,Chang Seo Park,Linus Jang. Владелец: Globalfoundries Inc. Дата публикации: 2014-05-22.

Compound, patterned material, semiconductor device, terminal, and patterning method

Номер патента: EP4414786A1. Автор: Yu Zhang,Lei Zhang,Di Wang,Xiaofeng YI,Qingrong DING. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-08-14.

Laser patterning apparatus and laser patterning method

Номер патента: US8420449B2. Автор: Masaaki Toda,Satoshi SAWAYANAGI. Владелец: Fuji Electric Co Ltd. Дата публикации: 2013-04-16.

Patterning method for graphene using hot-embossing imprinting

Номер патента: US09966531B2. Автор: Byung Hee Hong,Jung Hee Han. Владелец: Graphene Square Inc. Дата публикации: 2018-05-08.

Method of manufacturing memory device and patterning method

Номер патента: US11968830B2. Автор: Chung-Hsuan Wang. Владелец: Winbond Electronics Corp. Дата публикации: 2024-04-23.

Semiconductor device and patterning method for plated electrode thereof

Номер патента: US9570635B2. Автор: Tsun-Neng Yang,Wei-Yang Ma,Cheng-Dar Lee,Yu-Han Su. Владелец: Institute of Nuclear Energy Research. Дата публикации: 2017-02-14.

Nanoparticle, nanoparticle layer patterning method and related application

Номер патента: US20220169922A1. Автор: Zhuo Chen,Tieshi WANG. Владелец: Beijing BOE Technology Development Co Ltd. Дата публикации: 2022-06-02.

Laser patterning apparatus and laser patterning method

Номер патента: US20120070932A1. Автор: Masaaki Toda,Satoshi SAWAYANAGI. Владелец: Fuji Electric Co Ltd. Дата публикации: 2012-03-22.

Method of manufacturing semiconductor device including double patterning process

Номер патента: US20240130212A1. Автор: INOUE Naoki,Tsunehiro Nishi,Yonghoon MOON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-18.

Double-pattern gate formation processing with critical dimension control

Номер патента: US20140220767A1. Автор: Xiang Hu. Владелец: Globalfoundries Inc. Дата публикации: 2014-08-07.

DOUBLE PATTERNING METHODS AND STRUCTURES

Номер патента: US20150001687A1. Автор: ZHANG PETER,HE JEFFERY,ZHANG STEVEN. Владелец: Semiconductor Manufacturing International (Shanghai) Corporation. Дата публикации: 2015-01-01.

DOUBLE PATTERNING METHOD

Номер патента: US20150056809A1. Автор: Doris Bruce B.,Cheng Kangguo,Khakifirooz Ali,ZHANG YING. Владелец: . Дата публикации: 2015-02-26.

Hybrid Double Patterning Method for Semiconductor Manufacture

Номер патента: US20190080921A1. Автор: Lai Chih-Ming,Hsieh Ken-Hsien,Liu Ru-Gun,Cheng Wen-Li,JHENG DONG-YO. Владелец: . Дата публикации: 2019-03-14.

Hybrid Double Patterning Method for Semiconductor Manufacture

Номер патента: US20200083058A1. Автор: Lai Chih-Ming,Hsieh Ken-Hsien,Liu Ru-Gun,Cheng Wen-Li,JHENG DONG-YO. Владелец: . Дата публикации: 2020-03-12.

Hybrid Double Patterning Method for Semiconductor Manufacture

Номер патента: US20190252200A1. Автор: Lai Chih-Ming,Hsieh Ken-Hsien,Liu Ru-Gun,Cheng Wen-Li,JHENG DONG-YO. Владелец: . Дата публикации: 2019-08-15.

SELF-ALIGNED NAND FLASH SELECT-GATE WORDLINES FOR SPACER DOUBLE PATTERNING

Номер патента: US20130316537A1. Автор: FANG Shenqing,CHEN Tung-Sheng. Владелец: SPANSION LLC. Дата публикации: 2013-11-28.

DOUBLE PATTERNING LITHOGRAPHY TECHNIQUES

Номер патента: US20140017899A1. Автор: Sivakumar Swaminathan,Wallace Charles H.,Rahhal-Orabi Nadia M.,TINGEY Matthew L.,MUNASINGHE Chanaka D.. Владелец: . Дата публикации: 2014-01-16.

METHOD OF FORMING THE GATE WITH THE LELE DOUBLE PATTERN

Номер патента: US20150050801A1. Автор: HUANG JUN,LI Runling,MAO ZhiBiao,LI QuanBo,GAN ZhiFeng. Владелец: SHANGHAI HUALI MICROELECTRONICS CORPORATION. Дата публикации: 2015-02-19.

METHOD FOR SEMICONDUCTOR CROSS PITCH DOUBLED PATTERNING PROCESS

Номер патента: US20150056810A1. Автор: Nair Vinay,Heineck Lars. Владелец: NANYA TECHNOLOGY CORP.. Дата публикации: 2015-02-26.

METAL DENSITY DISTRIBUTION FOR DOUBLE PATTERN LITHOGRAPHY

Номер патента: US20140145342A1. Автор: Schultz Richard T.,Rowhani Omid,Tung Charles P.. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2014-05-29.

USING A SAME MASK FOR DIRECT PRINT AND SELF-ALIGNED DOUBLE PATTERNING OF NANOSHEETS

Номер патента: US20220102153A1. Автор: Miller Eric,Sieg Stuart,Dechene Daniel James. Владелец: . Дата публикации: 2022-03-31.

Self-Aligned Nanowire Formation Using Double Patterning

Номер патента: US20190122936A1. Автор: Lin Huan-Just,Lee Chia-Ying,Fu Ching-Feng,CHEN DE-FANG,LEE CHUN-HUNG,Yen Yu-Chan. Владелец: . Дата публикации: 2019-04-25.

METHOD FOR FORMING SEMICONDUCTOR DEVICE STRUCTURE USING DOUBLE PATTERNING

Номер патента: US20180145145A1. Автор: Chiu Yi-Wei,Chen Po-Ju. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2018-05-24.

Selective inductive double patterning

Номер патента: TW201005823A. Автор: S M Reza Sadjadi. Владелец: Lam Res Corp. Дата публикации: 2010-02-01.

Double pattern and etch of poly with hard mask

Номер патента: US20030124847A1. Автор: Thomas Aton,Robert Soper,Theodore Houston. Владелец: Texas Instruments Inc. Дата публикации: 2003-07-03.

Direct printing and self-aligned double patterning of nanoplates

Номер патента: CN114175211A. Автор: E·米勒,S·西埃格,D·J·德切尼. Владелец: International Business Machines Corp. Дата публикации: 2022-03-11.

Sidewall spacer patterning method using gas cluster ion beam

Номер патента: US20160222521A1. Автор: Noel Russell,Soo Doo Chae,Youngdon Chang,Il-seok Song. Владелец: TEL Epion Inc. Дата публикации: 2016-08-04.

Sidewall spacer patterning method using gas cluster ion beam

Номер патента: US09500946B2. Автор: Noel Russell,Soo Doo Chae,Youngdon Chang,Il-seok Song. Владелец: TEL Epion Inc. Дата публикации: 2016-11-22.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND PATTERNING METHOD

Номер патента: US20170084493A1. Автор: Lee Jinwook,KIM Dohyoung,Han Dongwoo,YANG Kwang-Yong,JUNG Haegeon,JEON KyungYub. Владелец: . Дата публикации: 2017-03-23.

MULTI-PATTERNING METHOD AND DEVICE FORMED BY THE METHOD

Номер патента: US20140193974A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2014-07-10.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND PATTERNING METHOD

Номер патента: US20180240710A1. Автор: Lee Jinwook,KIM Dohyoung,Han Dongwoo,YANG Kwang-Yong,JUNG Haegeon,JEON KyungYub. Владелец: . Дата публикации: 2018-08-23.

METHODOLOGY OF FORMING CMOS GATES ON THE SECONDARY AXIS USING DOUBLE-PATTERNING TECHNIQUE

Номер патента: US20150170971A1. Автор: JESSEN Scott William,BALDWIN Gregory Charles. Владелец: . Дата публикации: 2015-06-18.

DOUBLE-PATTERN GATE FORMATION PROCESSING WITH CRITICAL DIMENSION CONTROL

Номер патента: US20140220767A1. Автор: HU Xiang. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-08-07.

Method of Forming Transistor of Semiconductor Device Using Double Patterning Technology

Номер патента: KR100876806B1. Автор: 임희열. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-01-07.

Semiconductor device patterning methods

Номер патента: US20240271272A1. Автор: Yong Wang,John Sudijono,Bhaskar Jyoti Bhuyan,Doreen Wei Ying Yong. Владелец: Applied Materials Inc. Дата публикации: 2024-08-15.

Gate structure and patterning method

Номер патента: US20240234214A1. Автор: Wei-Hao Wu,Mao-Lin Huang,Lung-Kun Chu,Kuo-Cheng Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-11.

Semiconductor device patterning methods

Номер патента: US11987875B2. Автор: Yong Wang,John Sudijono,Bhaskar Jyoti Bhuyan,Doreen Wei Ying Yong. Владелец: Applied Materials Inc. Дата публикации: 2024-05-21.

Spacer double patterning for lithography operations

Номер патента: US8278156B2. Автор: Christophe Pierrat. Владелец: Cadence Design Systems Inc. Дата публикации: 2012-10-02.

Method of double patterning using sacrificial structure

Номер патента: US20090311634A1. Автор: Hongyu Yue,Hieu A. Lam,Reiji Niino. Владелец: Tokyo Electron Ltd. Дата публикации: 2009-12-17.

Double patterning method

Номер патента: CN107731666B. Автор: 王彦,张城龙. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-08-07.

SYSTEM AND METHOD FOR ARBITRARY METAL SPACING FOR SELF-ALIGNED DOUBLE PATTERNING

Номер патента: US20140264894A1. Автор: TIEN Li-Chun,Chen Kuo-Ji,WU Chen-Chi. Владелец: . Дата публикации: 2014-09-18.

Using electric-field directed post-exposure bake for double patterning (D-P)

Номер патента: TW201109846A. Автор: Mark Somervell,Steven Scheer. Владелец: Tokyo Electron Ltd. Дата публикации: 2011-03-16.

Spacer double patterning for lithography operations

Номер патента: US20110012237A1. Автор: Christophe Pierrat. Владелец: Cadence Design Systems Inc. Дата публикации: 2011-01-20.

Spacer double patterning for lithography operations

Номер патента: CN101910940B. Автор: 克里斯托夫·皮埃拉. Владелец: Cadence Design Systems Inc. Дата публикации: 2013-06-05.

Thin-film patterning method, manufacturing method of thin-film device and manufacturing method of thin-film magnetic head

Номер патента: US20010027029A1. Автор: Akifumi Kamijima. Владелец: TDK Corp. Дата публикации: 2001-10-04.

Double patterning epitaxy fin

Номер патента: US20190214486A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2019-07-11.

Double patterning epitaxy Fin

Номер патента: US10593784B2. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2020-03-17.

Self-aligned double patterning for memory and other microelectronic devices

Номер патента: US20140191308A1. Автор: Tzu-Yen Hsieh. Владелец: SPANSION LLC. Дата публикации: 2014-07-10.

Method of forming photoresist patterns having fine pitch using double patterning technique

Номер патента: KR20060106104A. Автор: 김상욱,신철호,민경진,채윤숙. Владелец: 삼성전자주식회사. Дата публикации: 2006-10-12.

Methods for improving double patterning route efficiency

Номер патента: US20140327146A1. Автор: Lei Yuan,Hidekazu Yoshida,Jongwook Kye,Juhan Kim,Mahbub Rashed,Yunfei Deng. Владелец: Globalfoundries Inc. Дата публикации: 2014-11-06.

RESIST FEATURE AND REMOVABLE SPACER PITCH DOUBLING PATTERNING METHOD FOR PILLAR STRUCTURES

Номер патента: US20130130467A1. Автор: Chen Yung-Tin,Radigan Steven J.. Владелец: SanDisk 3D LLC. Дата публикации: 2013-05-23.

DOUBLE PATTERNING METHOD FOR SEMICONDUCTOR DEVICES

Номер патента: US20140217505A1. Автор: LIN Chih-Han. Владелец: Taiwan Semiconductor Manufacturing Company Ltd.. Дата публикации: 2014-08-07.

Double patterning method and semiconductor structure

Номер патента: WO2023092811A1. Автор: 陈海华,侯永强. Владелец: 上海集成电路装备材料产业创新中心有限公司. Дата публикации: 2023-06-01.

Split-Layer Design for Double Patterning Lithography

Номер патента: US20120110521A1. Автор: Sani R. Nassif,Kanak B. Agarwal,Lars W. Liebmann. Владелец: International Business Machines Corp. Дата публикации: 2012-05-03.

TWO-TRACK CROSS-CONNECT IN DOUBLE-PATTERNED STRUCTURE USING RECTANGULAR VIA

Номер патента: US20140035160A1. Автор: JESSEN Scott William,BLATCHFORD James Walter. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2014-02-06.

DOUBLE PATTERNING COMPATIBLE COLORLESS M1 ROUTE

Номер патента: US20140097892A1. Автор: Yuan Lei,Kye Jongwook,RASHED Mahbub,Wang Qinglei. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-04-10.

Finfet formation using double patterning memorization

Номер патента: US20140141605A1. Автор: Jin Cho,Chang Seo Park,Linus Jang. Владелец: Globalfoundries Inc. Дата публикации: 2014-05-22.

Method of Fine Line Space Resolution Lithography for Integrated Circuit Features Using Double Patterning Technology

Номер патента: US20160086887A1. Автор: Lee Chia-Ying,SHIEH JYU-HORNG. Владелец: . Дата публикации: 2016-03-24.

SELF-ALIGNED DOUBLE PATTERNING FOR MEMORY AND OTHER MICROELECTRONIC DEVICES

Номер патента: US20140191308A1. Автор: Hsieh Tzu-Yen. Владелец: SPANSION LLC. Дата публикации: 2014-07-10.

DOUBLE PATTERNING EPITAXY FIN

Номер патента: US20190189783A1. Автор: Leobandung Effendi. Владелец: . Дата публикации: 2019-06-20.

DOUBLE PATTERNING EPITAXY FIN

Номер патента: US20190214486A1. Автор: Leobandung Effendi. Владелец: . Дата публикации: 2019-07-11.

METHODS FOR IMPROVING DOUBLE PATTERNING ROUTE EFFICIENCY

Номер патента: US20140327146A1. Автор: Yuan Lei,Kye Jongwook,YOSHIDA Hidekazu,RASHED Mahbub,Deng Yunfei,KIM JuHan. Владелец: Globalfoundries Inc. Дата публикации: 2014-11-06.

DOUBLE PATTERNED STACKING TECHNIQUE

Номер патента: US20150287709A1. Автор: Le Son,KWON Ohsang,Chintarlapalli Reddy HariKrishna,RANGANNA Vijayalakshmi. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2015-10-08.

Double patterning with inline chemical critical dimension slimming

Номер патента: KR101781246B1. Автор: 샤논 더블유 던,데이비드 헤처. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2017-09-22.

Split-layer design for double patterning lithography

Номер патента: US8347240B2. Автор: Sani R. Nassif,Kanak B. Agarwal,Lars W. Liebmann. Владелец: International Business Machines Corp. Дата публикации: 2013-01-01.

Bit cell with double patterned metal layer structures

Номер патента: TW201411638A. Автор: Juhan Kim,Mahbub Rashed. Владелец: GlobalFoundries US Inc. Дата публикации: 2014-03-16.

Method and materials for double patterning

Номер патента: TW201111918A. Автор: Peng-Fei Fu,Eric Moyer,Jason Suhr. Владелец: Dow Corning. Дата публикации: 2011-04-01.

Method and materials for double patterning

Номер патента: WO2011011140A3. Автор: Eric Scott Moyer,Peng-Fei Fu,Jason D. Suhr. Владелец: Dow Corning Corporation. Дата публикации: 2011-03-31.

Method for fabricating high density pillar structures by double patterning using positive photoresist

Номер патента: CN102077346B. Автор: R·E·舒尔雷恩,S·雷迪根. Владелец: SanDisk 3D LLC. Дата публикации: 2013-05-01.

Photoresist Image-forming Process Using Double Patterning

Номер патента: US20100183851A1. Автор: MENG Li,Muthiah Thiyagarajan,Yi Cao,Sungeun Hong,Dongkwan Lee,David Mikrut. Владелец: David Mikrut. Дата публикации: 2010-07-22.

Method and materials for double patterning

Номер патента: US8728335B2. Автор: Eric Scott Moyer,Peng-Fei Fu,Jason D. Suhr. Владелец: Dow Corning Corp. Дата публикации: 2014-05-20.

Double patterning epitaxy fin

Номер патента: US20190189783A1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2019-06-20.

Method To Ensure Double Patterning Technology Compliance In Standard Cells

Номер патента: US20130074029A1. Автор: James Walter Blatchford. Владелец: Texas Instruments Inc. Дата публикации: 2013-03-21.

Patterning method for OLEDs

Номер патента: US09530962B2. Автор: Paul E. Burrows,Julia J. Brown,Siddharth Harikrishna Mohan. Владелец: Universal Display Corp. Дата публикации: 2016-12-27.

Resin composition, dry-etching resist mask, and patterning method

Номер патента: US09777079B2. Автор: Makoto Yada,Takeshi Ibe. Владелец: DIC Corp. Дата публикации: 2017-10-03.

Patterning method, droplet discharging device and

Номер патента: TWI356661B. Автор: Hirotsuna Miura,Naoyuki Toyoda. Владелец: Seiko Epson Corp. Дата публикации: 2012-01-11.

Curable composition for imprints, patterning method and pattern

Номер патента: US09684233B2. Автор: Kunihiko Kodama. Владелец: Fujifilm Corp. Дата публикации: 2017-06-20.

Logo patterning methods for liquid crystal displays

Номер патента: US09429803B2. Автор: Robin Huang,Shih Chang Chang,Byung Duk Yang,Kwang Soon Park. Владелец: Apple Inc. Дата публикации: 2016-08-30.

Evaluation pattern, method for manufacturing semiconductor device, and semiconductor wafer

Номер патента: US8993354B2. Автор: Kenji Kojima. Владелец: Toshiba Corp. Дата публикации: 2015-03-31.

Evaluation pattern, method for manufacturing semiconductor device, and semiconductor wafer

Номер патента: US20140077209A1. Автор: Kenji Kojima. Владелец: Toshiba Corp. Дата публикации: 2014-03-20.

Method for forming a pattern, method for manufacturing semiconductor apparatus, and method for manufacturing display

Номер патента: CN101582391B. Автор: 川岛纪之. Владелец: Sony Corp. Дата публикации: 2011-04-06.

Patterning Method and Method for Manufacturing Array Substrate

Номер патента: US20210200090A1. Автор: Liu Jun,Zhou Bin,Liu Ning,Li Wei,Zhang Yang,Hu Yingbin. Владелец: . Дата публикации: 2021-07-01.

QUANTUM DOT FILM, ITS PREPARATION METHOD, ITS PATTERNING METHOD, AND ITS DISPLAY DEVICE

Номер патента: US20170194530A1. Автор: QI Yonglian,Zhang Bin,ZHOU Tingting,Xie Dini. Владелец: . Дата публикации: 2017-07-06.

Quantum dot film, preparing method thereof, quantum dot film patterning method and displayer

Номер патента: CN105425540A. Автор: 张斌,齐永莲,周婷婷,谢蒂旎. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-03-23.

FABRICATION OF VACUUM ELECTRONIC COMPONENTS WITH SELF-ALIGNED DOUBLE PATTERNING LITHOGRAPHY

Номер патента: US20170263409A1. Автор: Pan Tony S.,Mankin Max N.,Koch Andrew T.,Lingley Andrew R.. Владелец: . Дата публикации: 2017-09-14.

Patterning method

Номер патента: EP1374323A1. Автор: Takeo Kawase. Владелец: Cambridge University Technical Services Ltd CUTS. Дата публикации: 2004-01-02.

Debossed Contact Printing as a Patterning Method for Paper-Based Electronics

Номер патента: US20240324107A1. Автор: Tricia Breen Carmichael,Sara S. Mechael. Владелец: UNIVERSITY OF WINDSOR. Дата публикации: 2024-09-26.

Patterning method of organic electroluminescent device

Номер патента: US20080131816A1. Автор: Takashi Kawai,Atsushi Oda. Владелец: Yamagata Promotional Organization for Ind Tech. Дата публикации: 2008-06-05.

Patterning method of organic electroluminescent device

Номер патента: EP1928040A3. Автор: Takashi Kawai,Atsushi Oda. Владелец: Yamagata Promotional Organization for Ind Tech. Дата публикации: 2010-05-05.

Aqueous developable photo-imageable composition precursors for use in photo-patterning methods

Номер патента: TW200622483A. Автор: Mark Robert Mckeever,hai-xin Yang. Владелец: Du Pont. Дата публикации: 2006-07-01.

CONDUCTIVE PASTE, METHOD OF PRODUCING PATTERN, METHOD OF PRODUCING CONDUCTIVE PASTE, AND SENSOR

Номер патента: US20160358688A1. Автор: Tanabe Miharu. Владелец: . Дата публикации: 2016-12-08.

Conductive paste, method for producing pattern, method for producing conductive pattern, and sensor

Номер патента: CN105960683A. Автор: 田边美晴. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2016-09-21.

Routing analysis with double pattern lithography

Номер патента: US20120216157A1. Автор: Gang Chen,Jianfeng Luo. Владелец: Individual. Дата публикации: 2012-08-23.

Methods of generating circuit layouts using self-alligned double patterning (SADP) techniques

Номер патента: US09582629B2. Автор: LI YANG,Lei Yuan,Jongwook Kye. Владелец: Globalfoundries Inc. Дата публикации: 2017-02-28.

Method of decomposing design layout for double patterning process

Номер патента: US09355204B2. Автор: Jeong-Hoon Lee,Sang-Wook Seo,Hye-Soo Shin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-05-31.

Masks for double patterning photolithography

Номер патента: US20140047398A1. Автор: Carlos R. Castro-Pareja,Allan Xiao Yu Gu. Владелец: Intel Corp. Дата публикации: 2014-02-13.

Double patterning method for fine patterning

Номер патента: KR20110008495A. Автор: 심연아. Владелец: 주식회사 동부하이텍. Дата публикации: 2011-01-27.

Hierarchical trim management for self-aligned double patterning

Номер патента: US20190179994A1. Автор: David Wolpert,Laura R. Darden. Владелец: International Business Machines Corp. Дата публикации: 2019-06-13.

Patterning method

Номер патента: US20120148810A1. Автор: Yu-Ho Chen. Владелец: Micro Star International Co Ltd. Дата публикации: 2012-06-14.

Thin-film patterning method for magnetoresistive device

Номер патента: US20090145878A1. Автор: Naoki Ohta,Kazuki Sato,Kosuke Tanaka. Владелец: TDK Corp. Дата публикации: 2009-06-11.

Web support, production method therefor, and patterning method

Номер патента: EP3741894A1. Автор: Masahiro Yoshikawa,Naoki Fujita,Megumi Taguchi,Takehiko Tatsuno. Владелец: Nippon Filcon Co Ltd. Дата публикации: 2020-11-25.

Web support, production method therefor, and patterning method

Номер патента: US20210214869A1. Автор: Masahiro Yoshikawa,Naoki Fujita,Megumi Taguchi,Takehiko Tatsuno. Владелец: Nippon Filcon Co Ltd. Дата публикации: 2021-07-15.

One optical design pattern/method of a cost effective ir lens

Номер патента: US20180088257A1. Автор: Fujian Ding,Mark Lifshotz. Владелец: LightPath Technologies Inc. Дата публикации: 2018-03-29.

One optical design pattern/method of a cost effective ir lens

Номер патента: US20210080620A1. Автор: Fujian Ding,Mark Lifshotz. Владелец: LightPath Technologies Inc. Дата публикации: 2021-03-18.

Soft mold, method of manufacturing the same, and patterning method using the same

Номер патента: US7618903B2. Автор: Gee Sung Chae. Владелец: LG Display Co Ltd. Дата публикации: 2009-11-17.

Soft mold, method of manufacturing the same, and patterning method using the same

Номер патента: US20100048031A1. Автор: Gee Sung Chae. Владелец: Individual. Дата публикации: 2010-02-25.

Patterning method

Номер патента: EP1542073A3. Автор: Akio Misaka. Владелец: Panasonic Corp. Дата публикации: 2009-02-18.

Resist patterning method and resist material

Номер патента: US20180356731A1. Автор: Seiichi Tagawa. Владелец: Osaka University NUC. Дата публикации: 2018-12-13.

Patterning method

Номер патента: US20100072647A1. Автор: Ikuo Yoneda,Takumi Ota,Hiroshi Tokue,Shinji Mikami. Владелец: Individual. Дата публикации: 2010-03-25.

Patterning method

Номер патента: US8221827B2. Автор: Ikuo Yoneda,Takumi Ota,Hiroshi Tokue,Shinji Mikami. Владелец: Toshiba Corp. Дата публикации: 2012-07-17.

Patterning method for component boards

Номер патента: US09426901B2. Автор: William Lonzo Woods, Jr.,John Andrew Trelford,Thang Dahn Truong. Владелец: General Electric Co. Дата публикации: 2016-08-23.

Method for forming pattern, method for manufacturing semiconductor device and semiconductor device

Номер патента: TW200849603A. Автор: Toshio Fukuda,Kazumasa Nomoto,Akihiro Nomoto. Владелец: Sony Corp. Дата публикации: 2008-12-16.

Patterning method

Номер патента: EP1366529B1. Автор: Takeo Kawase. Владелец: Seiko Epson Corp. Дата публикации: 2013-02-13.

Spatial variant dependency pattern method for GPU based intra prediction in HEVC

Номер патента: US09615104B2. Автор: Julia A. Gould,Haihua Wu. Владелец: Intel Corp. Дата публикации: 2017-04-04.

High resolution advanced OLED sub-pixel circuit and patterning method

Номер патента: US12041823B2. Автор: Yu-Hsin Lin,Jungmin Lee,Chung-Chia Chen,Ji Young CHOUNG. Владелец: Applied Materials Inc. Дата публикации: 2024-07-16.

Patterning method and display device

Номер патента: EP2040318A3. Автор: Nobuhiro Nishita. Владелец: Fujifilm Corp. Дата публикации: 2012-04-25.

Patterning method

Номер патента: TWI289027B. Автор: Takeo Kawase. Владелец: Univ Cambridge Tech. Дата публикации: 2007-10-21.

Plate, patterning device employing the plate, and patterning method

Номер патента: TW200745795A. Автор: Ken Takahashi,Koichi Ishii,Masahiro Hosoya,Hitoshi Yagi,Mitsunaga Saito. Владелец: Toshiba Kk. Дата публикации: 2007-12-16.

Nanoimprint patterning method

Номер патента: GB2541890A. Автор: Benzie Philip,Herrington Mark,Mohamad David. Владелец: Cambridge Display Technology Ltd. Дата публикации: 2017-03-08.

Patterning method, device manufacturing method using the patterning method, and device

Номер патента: CN102067726B. Автор: 白泽信彦,谷村宁昭,藤森茂雄. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2014-06-04.

Patterning method and device, film formation method and device, electro-optical device and method for making thereof

Номер патента: CN100573968C. Автор: 宫泽贵士. Владелец: Seiko Epson Corp. Дата публикации: 2009-12-23.

Patterning method and device, film forming method and device and electro-optic device and its mfg. method

Номер патента: CN1328932C. Автор: 宫泽贵士. Владелец: Seiko Epson Corp. Дата публикации: 2007-07-25.

High resolution patterning method

Номер патента: WO2003038147A3. Автор: Daniel Robert Johnson,Anthony Willi Kynaston-Pearson,William Norman Damerell. Владелец: William Norman Damerell. Дата публикации: 2004-08-19.

High resolution patterning method

Номер патента: WO2003038147A2. Автор: Daniel Robert Johnson,William Norman Damerell,Anthony William Nigel Kynaston-Pearson. Владелец: QINETIQ LIMITED. Дата публикации: 2003-05-08.

CONDUCTIVE PATTERN, METHOD FOR FORMING CONDUCTIVE PATTERN, AND DISCONNECTION REPAIRING METHOD

Номер патента: US20210168942A1. Автор: WATANABE KIBO. Владелец: . Дата публикации: 2021-06-03.

METHOD FOR DETERMINING MOIRE PATTERN, METHOD FOR SUPPRESSING MOIRE PATTERN AND CIRCUIT SYSTEM THEREOF

Номер патента: US20210201449A1. Автор: Huang Wen-Tsung,Hsiao Ching-Ju. Владелец: . Дата публикации: 2021-07-01.

Donor substrate, patterning method and device manufacturing method

Номер патента: JP5003826B2. Автор: 茂雄 藤森,寧昭 谷村,誠一郎 西村. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2012-08-15.

Patterning method for light-emitting devices

Номер патента: US8193018B2. Автор: Ronald S. Cok. Владелец: GLOBAL OLED TECHNOLOGY LLC. Дата публикации: 2012-06-05.

The production method of quantum dot layer patterning method and display device

Номер патента: CN108447999A. Автор: 陈卓,梅文海,张渊明. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-08-24.

Phase Shift Mask for Double Patterning and Method for Exposing Wafer Using the Same

Номер патента: US20090269679A1. Автор: Goo Min Jeong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-10-29.

Semiconductor device manufacturing method using double patterning and mask

Номер патента: TW200919549A. Автор: Hironobu Taoka,Akemi Moniwa,Junjiro Sakai. Владелец: Renesas Tech Corp. Дата публикации: 2009-05-01.

ECC method for double pattern flash memory

Номер патента: US09760434B2. Автор: Shih-Chang Huang,Chun-Hsiung Hung,Ken-Hui Chen. Владелец: Macronix International Co Ltd. Дата публикации: 2017-09-12.

Methods of Patterning Wafers Using Self-Aligned Double Patterning Processes

Номер патента: US20150193570A1. Автор: JEONG MOON-GYU. Владелец: . Дата публикации: 2015-07-09.

Shot cartridge with double pattern

Номер патента: CA2300515A1. Автор: Walter Zanoletti,Paolino Buccelli. Владелец: Muninord di Zanoletti Walter. Дата публикации: 2000-09-16.

DOUBLE PATTERNING METHOD

Номер патента: US20140080066A1. Автор: SHIMA Motoyuki,MEYA Kanako,SHIOYA Takeo. Владелец: JSR Corporation. Дата публикации: 2014-03-20.

DOUBLE PATTERNING METHOD

Номер патента: US20160103396A1. Автор: Yu Chun-Chi,Liou En-Chiuan,Kuo Teng-Chin. Владелец: . Дата публикации: 2016-04-14.

LITHO-LITHO-ETCH DOUBLE PATTERNING METHOD

Номер патента: US20190163054A1. Автор: Wang Feng,Singh Sunil K.,Mehta Sohan S.,SHARMA VINEET,Higgins Craig D.. Владелец: . Дата публикации: 2019-05-30.

Negative tone double patterning method

Номер патента: US20100062228A1. Автор: Charles Wallace,Swaminathan Sivakumar,Paul Nyhus. Владелец: Intel Corp. Дата публикации: 2010-03-11.

Determination Of Uniform Colorability Of Layout Data For A Double Patterning Manufacturing Process

Номер патента: US20130145340A1. Автор: LI Qiao. Владелец: . Дата публикации: 2013-06-06.

LAYOUT DECOMPOSITION FOR DOUBLE PATTERNING LITHOGRAPHY

Номер патента: US20130159945A1. Автор: Kahng Andrew B.,Yao Hailong. Владелец: The Regents of the University of California. Дата публикации: 2013-06-20.

MASKS FOR DOUBLE PATTERNING PHOTOLITHOGRAPHY

Номер патента: US20140047398A1. Автор: Castro-Pareja Carlos R.,Gu Allan Xiao Yu. Владелец: . Дата публикации: 2014-02-13.

AZIMUTHAL CRITICAL DIMENSION NON-UNIFORMITY FOR DOUBLE PATTERNING PROCESS

Номер патента: US20200002815A1. Автор: LaVoie Adrien,Agarwal Pulkit,Kumar Ravi,PASQUALE Frank Loren. Владелец: . Дата публикации: 2020-01-02.

DOUBLE PATTERNING TECHNOLOGY (DPT) LAYOUT ROUTING

Номер патента: US20150012895A1. Автор: Chen Huang-Yu,Chen Wen-Hao,HOU Yuan-Te,Cheng Yi-Kan,WANG Chung-Hsing,Fan Fang-Yu. Владелец: . Дата публикации: 2015-01-08.

Preventing double patterning odd cycles

Номер патента: US20150026651A1. Автор: Jiangfeng Luo. Владелец: Synopsys Inc. Дата публикации: 2015-01-22.

HYBRID DESIGN RULE FOR DOUBLE PATTERNING

Номер патента: US20150040088A1. Автор: Huang Wen-Chun,Chen Wen-Hao,Huang Cheng-I. Владелец: Taiwan Semiconductor Manufacturing Company Limited. Дата публикации: 2015-02-05.

AUTONOMOUS PLACEMENT TO SATISFY SELF-ALIGNED DOUBLE PATTERNING CONSTRAINTS

Номер патента: US20200057836A1. Автор: Nam Gi-Joon,Xiang Hua,Ramji Shyam,Tellez Gustavo Enrique. Владелец: . Дата публикации: 2020-02-20.

ECC METHOD FOR DOUBLE PATTERN FLASH MEMORY

Номер патента: US20150100852A1. Автор: Hung Chun-Hsiung,CHEN KEN-HUI,HUANG Shih-Chang. Владелец: MACRONIX INTERNATIONAL CO., LTD.. Дата публикации: 2015-04-09.

SELF-ALIGNED DOUBLE PATTERNING-AWARE ROUTING IN CHIP MANUFACTURING

Номер патента: US20200104449A1. Автор: Pandey Diwesh,Tellez Gustavo E.,Gao Shaodi. Владелец: . Дата публикации: 2020-04-02.

CONSTRUCTING FILL SHAPES FOR DOUBLE-PATTERNING TECHNOLOGY

Номер патента: US20170124242A1. Автор: Sharma Himanshu,Kim Byungwook,Kashyap Virender,Khandelwal Abhishek. Владелец: . Дата публикации: 2017-05-04.

Self-aligned double patterning via enclosure design

Номер патента: US20140208285A1. Автор: Lei Yuan,Harry J. Levinson,Jongwook Kye,Jason E. Stephens. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-24.

Hierarchical trim management for self-aligned double patterning

Номер патента: US20190179994A1. Автор: David Wolpert,Laura R. Darden. Владелец: International Business Machines Corp. Дата публикации: 2019-06-13.

RC Corner Solutions for Double Patterning Technology

Номер патента: US20140304670A1. Автор: Yi-Kan Cheng,Ke-Ying Su,Hsiao-Shu CHAO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-10-09.

METHOD OF DECOMPOSING DESIGN LAYOUT FOR DOUBLE PATTERNING PROCESS

Номер патента: US20150227666A1. Автор: LEE Jeong-Hoon,SHIN Hye-Soo,Seo Sang-Wook. Владелец: . Дата публикации: 2015-08-13.

METHODS OF GENERATING CIRCUIT LAYOUTS USING SELF-ALLIGNED DOUBLE PATTERNING (SADP) TECHNIQUES

Номер патента: US20150286764A1. Автор: Yang Li,Yuan Lei,Kye Jongwook. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-10-08.

DOUBLE PATTERNING BY PTD AND NTD PROCESS

Номер патента: US20140377708A1. Автор: Yang Chin Cheng. Владелец: . Дата публикации: 2014-12-25.

DOUBLE PATTERNING LAYOUT DESIGN METHOD

Номер патента: US20140380256A1. Автор: Jeong Kwang-Ok,Song Tae-Joong,Park Jae-ho. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-12-25.

ECC METHOD FOR DOUBLE PATTERN FLASH MEMORY

Номер патента: US20150370634A1. Автор: Hung Chun-Hsiung,CHEN KEN-HUI,HUANG Shih-Chang. Владелец: MACRONIX INTERNATIONAL CO., LTD.. Дата публикации: 2015-12-24.

Grating structure design method for double pattern encryption

Номер патента: CN113805333A. Автор: 陈旭东,钟世龙. Владелец: Sun Yat Sen University. Дата публикации: 2021-12-17.

Double patterning process

Номер патента: US8247166B2. Автор: Jun Hatakeyama,Tsunehiro Nishi,Masaki Ohashi,Katsuya Takemura,Takeshi Kinsho. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2012-08-21.

Double-pattern artificial quartz stone plate and preparation method thereof

Номер патента: CN114230234A. Автор: 黄海平,罗勇,戴新,王舟. Владелец: Guangdong Zhongqi New Material Co ltd. Дата публикации: 2022-03-25.

Method, system, and program product for interactive checking for double pattern lithography violations

Номер патента: US8739095B2. Автор: Min Cao,Roland Ruehl. Владелец: Cadence Design Systems Inc. Дата публикации: 2014-05-27.

Prepairing of optical film having double pattern and film made from the same

Номер патента: KR101241117B1. Автор: 김태진,유상현,구자정,지성대,성명석. Владелец: 웅진케미칼 주식회사. Дата публикации: 2013-03-11.

staggered laser engraving equipment for processing double-pattern carpet

Номер патента: CN108581215B. Автор: 潘小杰,方泽波. Владелец: SUZHOU MIKE LASER TECHNOLOGY SERVICE Co Ltd. Дата публикации: 2020-01-31.

Photoresist composition for forming a self-aligned double pattern

Номер патента: WO2011014020A2. Автор: 이재우,김재현,이정열,김한상. Владелец: 주식회사 동진쎄미켐. Дата публикации: 2011-02-03.

A jaw crusher with a swing tooth plate having a double-pattern surface

Номер патента: CN106513089A. Автор: 梁枫. Владелец: Chengdu Jiameijia Science and Technology Co Ltd. Дата публикации: 2017-03-22.

LELE double-pattern process

Номер патента: CN109696797A. Автор: 李亮,陈啸,闫观勇. Владелец: Semiconductor Manufacturing International Tianjin Corp. Дата публикации: 2019-04-30.

Method for improving photoetching mark of double patterning process

Номер патента: CN111399351A. Автор: 梁时元,权炳仁,田范焕. Владелец: Zhenxin Beijing Semiconductor Co Ltd. Дата публикации: 2020-07-10.

Natively color-aware double patterning technology (DPT) compliant routing

Номер патента: US8935639B1. Автор: Ping-San Tzeng. Владелец: Atoptech Inc. Дата публикации: 2015-01-13.

Hybrid design rule for double patterning

Номер патента: US20150040088A1. Автор: Wen-Hao Chen,Wen-Chun Huang,Cheng-I Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-02-05.

A photoresist image-forming process using double patterning

Номер патента: TW200949461A. Автор: Munirathna Padmanaban,David Abdallah,Ralph R Dammel,Eric Alemy. Владелец: Az Electronic Materials Usa. Дата публикации: 2009-12-01.

Multiple patterning method and system for implementing the method

Номер патента: US20200074038A1. Автор: Chung-Hsing Wang,Yen-Hung Lin,Yuan-Te Hou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-05.

Printing method, test pattern, method of producing test pattern, and printing apparatus

Номер патента: US20090179980A1. Автор: Hirokazu Nunokawa. Владелец: Seiko Epson Corp. Дата публикации: 2009-07-16.

Radiation based patterning methods

Номер патента: US11988961B2. Автор: Douglas A. Keszler,Jason K. Stowers,Alan J. Telecky,Andrew Grenville. Владелец: Inpria Corp. Дата публикации: 2024-05-21.

Curable composition for imprints, patterning method and pattern

Номер патента: US20100009138A1. Автор: Akinori Fujita,Kunihiko Kodama. Владелец: Fujifilm Corp. Дата публикации: 2010-01-14.

Holographic patterning method and tool employing prism coupling

Номер патента: WO1999059035A1. Автор: Adam F. Kelsey,Douglas C. Hobbs,Bruce D. Macleod. Владелец: Optical Switch Corporation. Дата публикации: 1999-11-18.

Photoresist compositions and patterning method

Номер патента: TW581938B. Автор: Satoshi Watanabe,Kenji Koizumi,Tatsushi Kaneko,Yoshitaka Yanagi. Владелец: Shinetsu Chemical Co. Дата публикации: 2004-04-01.

Radiation based patterning methods

Номер патента: US20230305390A1. Автор: Douglas A. Keszler,Jason K. Stowers,Alan J. Telecky,Andrew Grenville. Владелец: Inpria Corp. Дата публикации: 2023-09-28.

Holographic patterning method and tool employing prism coupling

Номер патента: EP1086406A1. Автор: Adam F. Kelsey,Douglas C. Hobbs,Bruce D. Macleod. Владелец: Optical Switch Corp. Дата публикации: 2001-03-28.

[half-tone phase shift mask and patterning method using thereof]

Номер патента: US20040253522A1. Автор: Jun-Cheng Lai. Владелец: Individual. Дата публикации: 2004-12-16.

Patterning methods and products

Номер патента: US09738062B2. Автор: Manfred Buck,Piotr Cyganik. Владелец: University of St Andrews. Дата публикации: 2017-08-22.

METHOD FOR FORMING A PATTERN, METHOD FOR PRODUCING A SUBSTRATE, AND METHOD FOR PRODUCING A MOLD

Номер патента: US20130213931A1. Автор: UMEZAWA Tomokazu. Владелец: FUJIFILM Corporation. Дата публикации: 2013-08-22.

Method of forming pattern, method of manufacturing semiconductor device, and method of manufacturing template

Номер патента: US8476170B2. Автор: Tomoko Ojima. Владелец: Toshiba Corp. Дата публикации: 2013-07-02.

Layout methodology, mask set, and patterning method for phase-shifting lithography

Номер патента: US5620816A. Автор: Giang T. Dao. Владелец: Intel Corp. Дата публикации: 1997-04-15.

Cyclic stereophonic sound pattern method and apparatus for reading improvement

Номер патента: CA1289356C. Автор: Stephen O. Behunin. Владелец: Individual. Дата публикации: 1991-09-24.

Method of forming pattern, method of manufacturing semiconductor device, and cleaning apparatus

Номер патента: US20090035705A1. Автор: Shinichi Ito. Владелец: Individual. Дата публикации: 2009-02-05.

APPARATUS OF FORMING PATTERN, METHOD OF MANUFACTURING THE SAME, AND METHOD OF FORMING THE SAME

Номер патента: US20140096693A1. Автор: KIM Sang Hoon,Kim Youngdae,CHANG Moon Won. Владелец: . Дата публикации: 2014-04-10.

Mask Plate, Manufacturing Method Thereof, and Patterning Method Using Mask Plate

Номер патента: US20210048743A1. Автор: Zhang Zhi,Li Bisheng,Fang Zhenzhong,Yin Li,Wang Qingpu. Владелец: . Дата публикации: 2021-02-18.

MULTIPLE PATTERNING METHOD, SYSTEM FOR IMPLEMENTING THE METHOD AND LAYOUT FORMED

Номер патента: US20180068046A1. Автор: HOU Yuan-Te,LIN YEN-HUNG,WANG Chung-Hsing. Владелец: . Дата публикации: 2018-03-08.

PATTERNING METHOD AND METHOD OF MANUFACTURING WIRE GRID POLARIZER USING THE SAME

Номер патента: US20160077264A1. Автор: Xie Lei,LEE Moon Gyu,JANG Hyeong Gyu,KWAK Eun Ae,KANG Min Hyuck. Владелец: . Дата публикации: 2016-03-17.

PATTERNING METHOD, AND TEMPLATE FOR NANOIMPRINT AND PRODUCING METHOD THEREOF

Номер патента: US20160077436A1. Автор: Sakurai Hideaki,Terayama Masatoshi,MOTOKAWA TAKEHARU,SUENAGA Machiko. Владелец: . Дата публикации: 2016-03-17.

MULTIPLE PATTERNING METHOD AND SYSTEM FOR IMPLEMENTING THE METHOD

Номер патента: US20200074038A1. Автор: HOU Yuan-Te,LIN YEN-HUNG,WANG Chung-Hsing. Владелец: . Дата публикации: 2020-03-05.

CONDUCTIVE PATTERN, METHOD FOR FORMING THE SAME, PRINTED WIRING BOARD, AND MANUFACTURING METHOD OF THE SAME

Номер патента: US20140161971A1. Автор: KASAI Seishi. Владелец: FUJIFILM Corporation. Дата публикации: 2014-06-12.

PHOTORESIST COMPOSITION, METHOD FOR PREPARING THE SAME, AND PATTERNING METHOD

Номер патента: US20210096463A1. Автор: Zhang Teng,LU Kejun,PARK Daeyoun,HU Fanhua. Владелец: . Дата публикации: 2021-04-01.

Web support, production method therefor, and patterning method

Номер патента: US20210214869A1. Автор: Masahiro Yoshikawa,Naoki Fujita,Megumi Taguchi,Takehiko Tatsuno. Владелец: Nippon Filcon Co Ltd. Дата публикации: 2021-07-15.

PATTERNING METHOD, LITHOGRAPHY APPARATUS AND SYSTEM, AND ARTICLE MANUFACTURING METHOD

Номер патента: US20150205211A1. Автор: Kawamura Akihiko. Владелец: . Дата публикации: 2015-07-23.

Film Patterning Method, Array Substrate, and Manufacturing Method Thereof

Номер патента: US20210223694A1. Автор: Wang Jun,WANG Dongfang,YUAN Guangcai,LI Guangyao,FANG Chong. Владелец: . Дата публикации: 2021-07-22.

MASK, MANUFACTURING METHOD THEREOF, PATTERNING METHOD EMPLOYING MASK, OPTICAL FILTER

Номер патента: US20170269467A1. Автор: LIU ZHENG,Zhang Zhichao,Kuo Tsung Chieh,WANG Shoukun. Владелец: . Дата публикации: 2017-09-21.

Patterning Methods and Methods of Making a Photoresist Composition Using a Photoresist Additive

Номер патента: US20150370164A1. Автор: Chen Chien-Chih. Владелец: . Дата публикации: 2015-12-24.

QD HYDROGEL, QD PATTERNING METHOD, AND QD TRANSFER PRINTING METHOD

Номер патента: US20190369487A1. Автор: LI Dongze,ZHOU Miao. Владелец: Shenzhen China Star Optoelectronics Technology Co. Ltd.. Дата публикации: 2019-12-05.

Printing Resist, Method for Manufacturing Printing Resist and Patterning Method using the same

Номер патента: KR101319271B1. Автор: 김진욱. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2013-10-16.

Patterning Method and Method of manufacturing Liquid Crystal Display Device using the same

Номер патента: KR101264676B1. Автор: 정태균. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2013-05-16.

Processing method of laser processing system and patterning method of transparent conductive film

Номер патента: KR101237968B1. Автор: 김영환,조태익. Владелец: 주식회사 이오테크닉스. Дата публикации: 2013-02-27.

photo mask and the fabrication method and the patterning method by using it

Номер патента: KR101409558B1. Автор: 김경일. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2014-06-19.

Lithography patterning method and double patterning method

Номер патента: TW201128683A. Автор: Chih-An Lin,Chien-Wei Wang,Chun-Kuang Chen,Feng-Cheng Hsu,Hsiao-Wei Yeh. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2011-08-16.

Active Region Patterning in Double Patterning Processes

Номер патента: US20120108036A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-05-03.

PATTERNING MASK AND METHOD OF FORMATION OF MASK USING STEP DOUBLE PATTERNING

Номер патента: US20120164566A1. Автор: deVilliers Anton,Hyatt Michael. Владелец: . Дата публикации: 2012-06-28.

PATTERN-SPLIT DECOMPOSITION STRATEGY FOR DOUBLE-PATTERNED LITHOGRAPHY PROCESS

Номер патента: US20120225551A1. Автор: BLATCHFORD James Walter. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2012-09-06.

Double pattern bag with hologram pattern

Номер патента: JP3148644U. Автор: 隆生 森實,森實 隆生. Владелец: みすまる産業株式会社. Дата публикации: 2009-02-26.

RESIST FEATURE AND REMOVABLE SPACER PITCH DOUBLING PATTERNING METHOD FOR PILLAR STRUCTURES

Номер патента: US20120094478A1. Автор: Chen Yung-Tin,Radigan Steven J.. Владелец: . Дата публикации: 2012-04-19.

Double Patterning Method for Semiconductor Devices

Номер патента: US20130244430A1. Автор: LIN Chih-Han. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-09-19.

DOUBLE PATTERNING METHOD

Номер патента: US20140024215A1. Автор: Doris Bruce B.,Cheng Kangguo,Khakifirooz Ali,ZHANG YING. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-01-23.

METHOD FOR FORMING A SELF-ALIGNED DOUBLE PATTERN

Номер патента: US20120045721A1. Автор: Printz Wallace P.,Scheer Steven. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-02-23.

TECHNIQUE TO FORM A SELF-ALIGNED DOUBLE PATTERN

Номер патента: US20120045722A1. Автор: Printz Wallace P.,Scheer Steven. Владелец: . Дата публикации: 2012-02-23.

MASK-SHIFT-AWARE RC EXTRACTION FOR DOUBLE PATTERNING DESIGN

Номер патента: US20120052422A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-03-01.

Mask-Shift-Aware RC Extraction for Double Patterning Design

Номер патента: US20120054696A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-03-01.

Composition Based Double-Patterning Mask Planning

Номер патента: US20120072875A1. Автор: LI Qiao,Ghosh Pradiptya. Владелец: . Дата публикации: 2012-03-22.

Microelectronic Fabrication Methods Using Composite Layers for Double Patterning

Номер патента: US20120100706A1. Автор: SIM Jae-hwang,Kim Min-Chul. Владелец: . Дата публикации: 2012-04-26.

Method And Materials For Double Patterning

Номер патента: US20120118856A1. Автор: . Владелец: . Дата публикации: 2012-05-17.

DOUBLE PATTERNING WITH INLINE CRITICAL DIMENSION SLIMMING

Номер патента: US20120128942A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-05-24.

METHOD FOR DOUBLE PATTERNING LITHOGRAPHY AND PHOTOMASK LAYOUT

Номер патента: US20120135341A1. Автор: . Владелец: . Дата публикации: 2012-05-31.

METHOD FOR METAL CORRELATED VIA SPLIT FOR DOUBLE PATTERNING

Номер патента: US20120135600A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-05-31.

METHOD AND APPARATUS FOR DETERMINING MASK LAYOUTS FOR A SPACER-IS-DIELECTRIC SELF-ALIGNED DOUBLE-PATTERNING PROCESS

Номер патента: US20120137261A1. Автор: . Владелец: Synopsys, Inc.. Дата публикации: 2012-05-31.

SPACER FORMATION FOR ARRAY DOUBLE PATTERNING

Номер патента: US20120138227A1. Автор: . Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2012-06-07.

SELF-ALIGNED NAND FLASH SELECT-GATE WORDLINES FOR SPACER DOUBLE PATTERNING

Номер патента: US20120156876A1. Автор: FANG Shenqing,CHEN Tung-Sheng. Владелец: . Дата публикации: 2012-06-21.

GENERATING CUT MASK FOR DOUBLE-PATTERNING PROCESS

Номер патента: US20120180006A1. Автор: Haffner Henning,Baum Zachary,Mansfield Scott M.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-07-12.

DECOMPOSITION AND MARKING OF SEMICONDUCTOR DEVICE DESIGN LAYOUT IN DOUBLE PATTERNING LITHOGRAPHY

Номер патента: US20120210279A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2012-08-16.

ROUTING ANALYSIS WITH DOUBLE PATTERN LITHOGRAPHY

Номер патента: US20120216157A1. Автор: . Владелец: . Дата публикации: 2012-08-23.

TWO-TRACK CROSS-CONNECT IN DOUBLE-PATTERNED STRUCTURE USING RECTANGULAR VIA

Номер патента: US20120223439A1. Автор: . Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2012-09-06.

TWO-TRACK CROSS-CONNECTS IN DOUBLE-PATTERNED METAL LAYERS USING A FORBIDDEN ZONE

Номер патента: US20120225552A1. Автор: BLATCHFORD James Walter. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2012-09-06.

PHOTORESIST DOUBLE PATTERNING APPARATUS

Номер патента: US20130000846A1. Автор: Romano Andrew R.,Sadjadi S.M. Reza. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2013-01-03.

Frequency Domain Layout Decomposition in Double Patterning Lithography

Номер патента: US20130003108A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-01-03.

RESOLVING DOUBLE PATTERNING CONFLICTS

Номер патента: US20130007674A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2013-01-03.

Standard Cell Placement Technique For Double Patterning Technology

Номер патента: US20130036397A1. Автор: Friedberg Paul David,Yeap Gary K.,Lee John Jung,Zaliznyak Renata. Владелец: Synopsys, Inc.. Дата публикации: 2013-02-07.

DOUBLE PATTERNING ETCHING PROCESS

Номер патента: US20130048605A1. Автор: Tang Jing,Venkataraman Shankar,Sapre Kedar,Ingle Nitin,Bhatnagar Ajay. Владелец: Applied Materials, Inc.. Дата публикации: 2013-02-28.

SRAM LAYOUT FOR DOUBLE PATTERNING

Номер патента: US20130069168A1. Автор: BLATCHFORD James Walter. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2013-03-21.

ILLUMINATION AND DESIGN RULE METHOD FOR DOUBLE PATTERNED SLOTTED CONTACTS

Номер патента: US20130069170A1. Автор: BLATCHFORD James Walter. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2013-03-21.

Method To Ensure Double Patterning Technology Compliance In Standard Cells

Номер патента: US20130074029A1. Автор: BLATCHFORD James Walter. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2013-03-21.

OVERLAY MEASUREMENT FOR A DOUBLE PATTERNING

Номер патента: US20130084655A1. Автор: Yue Hongyu Henry,Li Shifang. Владелец: . Дата публикации: 2013-04-04.

METHODS OF MAKING JOGGED LAYOUT ROUTINGS DOUBLE PATTERNING COMPLIANT

Номер патента: US20130244427A1. Автор: Yuan Lei,Kye Jongwook. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-09-19.

Mask Treatment for Double Patterning Design

Номер патента: US20130260563A1. Автор: YANG Jiing-Feng,CHEN Dian-Hau,CHEN Chii-Ping. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-10-03.

RC Corner Solutions for Double Patterning Technology

Номер патента: US20130275927A1. Автор: Su Ke-Ying,Chao Hsiao-Shu,Cheng Yi-Kan. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-10-17.

METHOD TO ENHANCE DOUBLE PATTERNING ROUTING EFFICIENCY

Номер патента: US20140068543A1. Автор: Yuan Lei,Kye Jongwook. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-03-06.

BIT CELL WITH DOUBLE PATTERNED METAL LAYER STRUCTURES

Номер патента: US20140077380A1. Автор: RASHED Mahbub,KIM JuHan. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-03-20.

DOUBLE PATTERNING BY PTD AND NTD PROCESS

Номер патента: US20140080069A1. Автор: Yang Chin Cheng. Владелец: MACRONIX INTERNATIONAL CO., LTD.. Дата публикации: 2014-03-20.

DOUBLE PATTERNING PROCESS

Номер патента: US20140080305A1. Автор: Lee Jenn-Wei,Liu Hung-Jen. Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2014-03-20.

AUTOMATED REPAIR METHOD AND SYSTEM FOR DOUBLE PATTERNING CONFLICTS

Номер патента: US20140089868A1. Автор: Fang Weiping,GAO Tong,Friedberg Paul David,Tong Yang-Shan. Владелец: Synopsys, Inc.. Дата публикации: 2014-03-27.

Method for increasing double patterning process windows

Номер патента: CN102446712B. Автор: 毛智彪,俞柳江. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2013-12-04.

Mask-shift-aware RC extraction for double patterning design

Номер патента: CN102841500B. Автор: 赵孝蜀,郑仪侃,王中兴,苏哿颖,管瑞丰. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-04-15.

Method for forming double patterning based on DARC mask structure

Номер патента: CN103441068A. Автор: 黄海,毛智彪,张瑜,黄君,崇二敏. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2013-12-11.

Based on the grid LELE double-pattern forming method of DARC mask structure

Номер патента: CN103441066B. Автор: 黄海,毛智彪,张瑜,黄君,崇二敏. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2016-01-27.

Be applied to the double-pattern forming method of grid line end cutting

Номер патента: CN103441067B. Автор: 毛智彪,李全波,黄君,李润领,甘志锋. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2016-04-27.

Method of 2D alignment double pattern lithography

Номер патента: TW201003728A. Автор: zhen-kun Wang. Владелец: He zhong shan. Дата публикации: 2010-01-16.

Mask and fabricating method thereof and patterning method

Номер патента: TW200727074A. Автор: Cheng-Chi Wang,Chin-Lung Ting. Владелец: Chi Mei Optoelectronics Corp. Дата публикации: 2007-07-16.

High resolution patterning method

Номер патента: AU2002339067A1. Автор: Daniel Robert Johnson,William Norman Damerell,Anthony William Nigel Kynaston-Pearson. Владелец: Qinetiq Ltd. Дата публикации: 2003-05-12.

Patterning method

Номер патента: AU2002241166A1. Автор: Takeo Kawase. Владелец: Cambridge University Technical Services Ltd CUTS. Дата публикации: 2002-10-21.

Patterning method in semiconductor process

Номер патента: TW322599B. Автор: Guang-Jau Chen,Yuh-Tarng Twu,Geeng-Huei Liaw. Владелец: Mos Electronics Taiwan Inc. Дата публикации: 1997-12-11.

Patterning method by hot melt adhesive

Номер патента: JPS56123821A. Автор: Ryohei Inaba,Moriyuki Okamura. Владелец: Canon Inc. Дата публикации: 1981-09-29.

Patterning method for nameplate

Номер патента: JPS57112937A. Автор: Mamoru Kito,Takeshi Mizoguchi. Владелец: HAMASAWA KOGYO KK. Дата публикации: 1982-07-14.

Patterning method of foreign tableware such as spoon * fork and like

Номер патента: JPS54113405A. Автор: Yuuji Fuse. Владелец: Fuse Youshiyotsuki Kougiyou Kk. Дата публикации: 1979-09-05.

METHOD OF FORMING PATTERN, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING TEMPLATE

Номер патента: US20120149211A1. Автор: OJIMA Tomoko. Владелец: . Дата публикации: 2012-06-14.

STREAM DOT PATTERN, METHOD OF FORMING STREAM DOT PATTERN, INFORMATION INPUT/OUTPUT METHOD USING STREAM DOT PATTERN, AND DOT PATTERN

Номер патента: US20120118968A1. Автор: . Владелец: . Дата публикации: 2012-05-17.

DONOR SUBSTRATE, PATTERNING METHOD, AND METHOD FOR PRODUCING DEVICE

Номер патента: US20120231228A1. Автор: Fujimori Shigeo,Tanimura Yasuaki,Nishimura Seiichiro. Владелец: Toray Industries, Inc.. Дата публикации: 2012-09-13.

METHOD OF PREPARING PATTERN, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND COMPUTER PROGRAM PRODUCT

Номер патента: US20120246602A1. Автор: . Владелец: . Дата публикации: 2012-09-27.