Dry etching by alternately etching and depositing

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method and apparatus for dry etching

Номер патента: US5474650A. Автор: Shinichi Tachi,Kazunori Tsujimoto,Takao Kumihashi. Владелец: HITACHI LTD. Дата публикации: 1995-12-12.

Method for Dry Etching Compound Materials

Номер патента: US20210296132A1. Автор: Alok Ranjan,Peter Ventzek. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-09-23.

Method for dry etching compound materials

Номер патента: US11605542B2. Автор: Alok Ranjan,Peter Ventzek. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-03-14.

Dry etching process for semiconductor

Номер патента: US5522966A. Автор: Kenji Kondo,Yoshikazu Sakano,Atsushi Komura,Shoji Miura,Keiichi Kon,Tetsuhiko Sanbei. Владелец: NipponDenso Co Ltd. Дата публикации: 1996-06-04.

Dry etching of silicon carbide

Номер патента: CA1329167C. Автор: John W. Palmour. Владелец: North Carolina State University. Дата публикации: 1994-05-03.

Equipment for cleaning, etching and drying semiconductor wafer and its using method

Номер патента: US5896875A. Автор: Kenji Yoneda. Владелец: Matsushita Electronics Corp. Дата публикации: 1999-04-27.

Anisotropic dry etching technique for deep bulk silicon etching

Номер патента: US20040018734A1. Автор: Jeffrey Fortin,Matthew Nielsen,Richard Saia,James Schermerhorn. Владелец: Lockheed Martin Corp. Дата публикации: 2004-01-29.

Dry etching method

Номер патента: US5880035A. Автор: Seiichi Fukuda. Владелец: Sony Corp. Дата публикации: 1999-03-09.

Controlled dry etch of a film

Номер патента: US7288476B2. Автор: Ronnie P. Varghese. Владелец: Avago Technologies General IP Singapore Pte Ltd. Дата публикации: 2007-10-30.

Method for the selective dry etching of layers of III-V group semiconductive materials

Номер патента: US4742026A. Автор: Jean Vatus,Jean Chevrier. Владелец: Thomson CSF SA. Дата публикации: 1988-05-03.

How to Clean the Etching Chamber of Dry Etching Equipment

Номер патента: KR950021173A. Автор: 히데아끼 가와모또. Владелец: 닛뽕덴끼 가부시끼가이샤. Дата публикации: 1995-07-26.

Dry etching apparatus

Номер патента: KR100258984B1. Автор: 이영우,김태룡,김학필. Владелец: 윤종용. Дата публикации: 2000-08-01.

Dry etching device

Номер патента: JPH11195645A. Автор: Gakuhitsu Kin,學弼 金,Tae-Ryong Kim,太龍 金,Eiu Ri,映雨 李. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-07-21.

Dry etching chamber cleaning method

Номер патента: KR0145645B1. Автор: 히데아끼 가와모또. Владелец: 닛뽕덴끼 가부시끼가이샤. Дата публикации: 1998-11-02.

METHOD OF SELECTIVE DRY ETCHING OF III-V SEMICONDUCTOR MATERIALS, AND TRANSISTOR OBTAINED BY THIS PROCESS.

Номер патента: FR2598256B1. Автор: Jean Vatus,Jean Chevrier. Владелец: Thomson CSF SA. Дата публикации: 1988-07-08.

Method for dry-etching lithium niobate

Номер патента: EP4290556A1. Автор: Jian Liu,Kaidong Xu,Dongchen CHE,Taiyan PENG,Yingxiong FENG,Haicheng DAI. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2023-12-13.

Methods for dry etching semiconductor devices

Номер патента: US09484216B1. Автор: Roy H. Olsson,Andrew John Gross,Peggy J. Clews,Todd Bauer. Владелец: Sandia Corp. Дата публикации: 2016-11-01.

Procedure for fabricating devices involving dry etching

Номер патента: CA1287556C. Автор: Ronald Joseph Schutz. Владелец: American Telephone and Telegraph Co Inc. Дата публикации: 1991-08-13.

Dry-etching method

Номер патента: US10192749B2. Автор: Kenichi Kuwahara,Syuji ENOKIDA. Владелец: Hitachi High Technologies Corp. Дата публикации: 2019-01-29.

Dry-etching method

Номер патента: US20170162397A1. Автор: Kenichi Kuwahara,Syuji ENOKIDA. Владелец: Hitachi High Technologies Corp. Дата публикации: 2017-06-08.

Liquid-carrying roller for wet etching and wet etching method

Номер патента: EP4207260A1. Автор: LIN Lu,Bin Chen,Yunlu Wang. Владелец: JA Solar Technology Yangzhou Co Ltd. Дата публикации: 2023-07-05.

Liquid-carrying roller for wet etching and wet etching method

Номер патента: US20230343888A1. Автор: LIN Lu,Bin Chen,Yunlu Wang. Владелец: JA Solar Technology Yangzhou Co Ltd. Дата публикации: 2023-10-26.

Dry etching method, method for producing semiconductor element, and cleaning method

Номер патента: US20240282583A1. Автор: Kazuma Matsui,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2024-08-22.

Dry etching method, method for producing semiconductor element, and cleaning method

Номер патента: EP4354490A1. Автор: Kazuma Matsui,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2024-04-17.

Manufacturing method of semiconductor device and dry etching apparatus for the same

Номер патента: US20140273482A1. Автор: Masaki Matsui,Yoshinori Tsuchiya,Shinichi Hoshi. Владелец: Denso Corp. Дата публикации: 2014-09-18.

Dry etching method, method for manufacturing semiconductor device, and etching device

Номер патента: US20220056593A1. Автор: Akifumi YAO,Kunihiro Yamauchi,Yuuta TAKEDA. Владелец: Central Glass Co Ltd. Дата публикации: 2022-02-24.

Metal removal method, dry etching method, and production method for semiconductor element

Номер патента: US20220325418A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2022-10-13.

Dry Etching Method

Номер патента: US20210358762A1. Автор: Hiroyuki Oomori,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2021-11-18.

Dry etching method

Номер патента: US09905431B2. Автор: Masahito Mori,Takao Arase,Satoshi Terakura,Ryuta Machida. Владелец: Hitachi High Technologies Corp. Дата публикации: 2018-02-27.

Dry etching method

Номер патента: US5366590A. Автор: Shingo Kadomura. Владелец: Sony Corp. Дата публикации: 1994-11-22.

Dry etching

Номер патента: GB2317494A. Автор: Hideyuki Shoji. Владелец: NEC Corp. Дата публикации: 1998-03-25.

Dry etching method and semiconductor device manufacturing method

Номер патента: US20010005632A1. Автор: Hideo Ichinose,Shoji Seta. Владелец: Toshiba Corp. Дата публикации: 2001-06-28.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054286A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054285A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054284A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20200263087A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2020-08-20.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054281A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Composition for etching and method for manufacturing semiconductor device using same

Номер патента: US20210054282A1. Автор: Jin Uk Lee,Jae Wan Park,Jung Hun Lim. Владелец: Soulbrain Co Ltd. Дата публикации: 2021-02-25.

Dry etching gases and method of dry etching

Номер патента: EP1760769A4. Автор: Toshiro Yamada,Tatsuya Sugimoto,A Sekiya,Takanobu Mase. Владелец: Zeon Corp. Дата публикации: 2009-05-13.

Dry etching apparatus in the form of two parallel plate electrodes

Номер патента: KR940010220A. Автор: 다께시 아끼모또. Владелец: 닛본덴기 가부시끼가이샤. Дата публикации: 1994-05-24.

Dry etching method and dry etching agent

Номер патента: US9929021B2. Автор: Akifumi YAO,Hiroyuki Oomori. Владелец: Central Glass Co Ltd. Дата публикации: 2018-03-27.

Dry Etching Method and Dry Etching Agent

Номер патента: US20170084467A1. Автор: Akifumi YAO,Hiroyuki Oomori. Владелец: Central Glass Co Ltd. Дата публикации: 2017-03-23.

Dry etching method of semiconductor substrate and dry etching method of silicon oxide film

Номер патента: EP4152362A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-03-22.

Method for dry-etching semiconductor substrate and method for dry-etching silicon oxide film

Номер патента: US20230207399A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-06-29.

Dry etching method of manufacturing semiconductor light emitting device substrate

Номер патента: US09748441B2. Автор: Kei Shinotsuka,Kotaro Dai,Yoshihisa Hatta,Yasuhito KAJITA. Владелец: Oji Holdings Corp. Дата публикации: 2017-08-29.

Method for removing residual polymer after the dry etching process and reducing oxide loss

Номер патента: US20020177309A1. Автор: Chung-Tai Chen. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-28.

Dry etching method

Номер патента: US09728422B2. Автор: Hiroyuki Oomori,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2017-08-08.

Method for dry etching of silicon substrate

Номер патента: GB2316805A. Автор: Hideyuki Shoji,Takakazu Kusuki. Владелец: NEC Corp. Дата публикации: 1998-03-04.

Method of fabricating semiconductor device using dry etching

Номер патента: US20170301569A1. Автор: Youngjae Kim,Chanmin Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-19.

Dry etch for nitride exhume processes in 3d nand fabrication

Номер патента: US20240055269A1. Автор: Pradeep K. Subrahmanyan,Sankuei Lin,Changwoo SUN. Владелец: Applied Materials Inc. Дата публикации: 2024-02-15.

Dry etching method

Номер патента: US9330888B2. Автор: Xi Chen,Liangliang LI,Yao Liu,Xiaowei Liu,Jinchao BAI,Xiangqian Ding. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2016-05-03.

Dry etch for nitride exhume processes in 3d nand fabrication

Номер патента: WO2024036276A1. Автор: Pradeep K. Subrahmanyan,Sankuei Lin,Changwoo SUN. Владелец: Applied Materials, Inc.. Дата публикации: 2024-02-15.

Dry-etching method and apparatus

Номер патента: US5409562A. Автор: Shinichi Tachi,Kazunori Tsujimoto,Takao Kumihashi. Владелец: HITACHI LTD. Дата публикации: 1995-04-25.

Dry etching device and method for controlling same

Номер патента: US11348810B2. Автор: Sang Jun Choi,Ji Sung Kang. Владелец: Vault Creation Co ltd. Дата публикации: 2022-05-31.

Dry etching device and method for controlling same

Номер патента: US20190318942A1. Автор: Sang Jun Choi,Ji Sung Kang. Владелец: Vault Creation Co ltd. Дата публикации: 2019-10-17.

Dry etching method

Номер патента: US5338399A. Автор: Toshiharu Yanagida. Владелец: Sony Corp. Дата публикации: 1994-08-16.

Dry etching apparatus using reactive ions

Номер патента: US4526643A. Автор: Haruo Okano,Takashi Yamazaki,Yasuhiro Horiike,Hiromichi Horie. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1985-07-02.

Photomask making method, photomask blank and dry etching method

Номер патента: US9164374B2. Автор: Kazuhiro Nishikawa,Hideo Kaneko,Shinichi Igarashi,Yukio Inazuki. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2015-10-20.

Method for tapered dry etching

Номер патента: US4522681A. Автор: Richard J. Saia,Bernard Gorowitz. Владелец: General Electric Co. Дата публикации: 1985-06-11.

Methods and systems for dry etching

Номер патента: US20240071803A1. Автор: Chou-Feng Lee,Chih-Hsien Hsu,Fu-Yi Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-29.

Method and apparatus for semiconductor etching and stripping

Номер патента: WO1997004476A3. Автор: Richard L Bersin. Владелец: Ulvac Technologies Inc. Дата публикации: 1997-03-06.

Method for establishing mapping relation in sti etch and controlling critical dimension of sti

Номер патента: US20170025304A1. Автор: JIN Xu,Xusheng Zhang,Yu Ren,Yukun LV,Qiyan Feng. Владелец: Individual. Дата публикации: 2017-01-26.

Dry etching process for compound semiconductors

Номер патента: WO2005079472A3. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: Northrop Grumman Corp. Дата публикации: 2006-04-20.

Dry etching process for compound semiconductors

Номер патента: WO2005079472A2. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: NORTHROP GRUMMAN CORPORATION. Дата публикации: 2005-09-01.

Dry etching process for compound semiconductors

Номер патента: EP1719160A2. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: Northrop Grumman Corp. Дата публикации: 2006-11-08.

Silicon (si) dry etch for die-to-wafer thinning

Номер патента: WO2024137957A1. Автор: Prayudi LIANTO,Guan Huei See,Arvind Sundarrajan,Cheng Sun,ChangBum YONG. Владелец: Applied Materials, Inc.. Дата публикации: 2024-06-27.

System and method for performing spin dry etching

Номер патента: US11854861B2. Автор: Chih-Chiang Tu,Chun-Lang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Local dry etching method

Номер патента: US6649528B2. Автор: Michihiko Yanagisawa,Tadayoshi Okuya. Владелец: SpeedFam Co Ltd. Дата публикации: 2003-11-18.

Dry etching method

Номер патента: US20140008322A1. Автор: Kazuya Abe,Toshiyasu Sakai,Hiroyuki Abo. Владелец: Canon Inc. Дата публикации: 2014-01-09.

Dry etching method

Номер патента: US20010055886A1. Автор: Teiichi Kimura,Yoshihiro Yanagi,Kiyohiko Takagi. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2001-12-27.

Dry etching method, method for manufacturing semiconductor element, and cleaning method

Номер патента: US11972955B2. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2024-04-30.

Dry etching method, method for producing semiconductor element, and cleaning method

Номер патента: EP4159892A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2023-04-05.

Dry etching method for film layer structure and film layer structure

Номер патента: US20210010140A1. Автор: Chong HU,Xianwang WEI. Владелец: TCL China Star Optoelectronics Technology Co Ltd. Дата публикации: 2021-01-14.

Plasma etching and stealth dicing laser process

Номер патента: US09601437B2. Автор: Guido Albermann,Sascha Moeller,Hartmut BUENNING,Thomas Rohleder,Martin Lapke. Владелец: NXP BV. Дата публикации: 2017-03-21.

Silicon Dry Etching Method

Номер патента: US20160005612A1. Автор: Isamu Mori,Akiou Kikuchi,Masanori WATARI. Владелец: Central Glass Co Ltd. Дата публикации: 2016-01-07.

Silicon dry etching method

Номер патента: US9524877B2. Автор: Isamu Mori,Akiou Kikuchi,Masanori WATARI. Владелец: Central Glass Co Ltd. Дата публикации: 2016-12-20.

Dry etching method

Номер патента: US20100255612A1. Автор: Hitoshi Kobayashi,Toru Ito,Yoshiharu Inoue,Toshiaki Nishida,Hiroaki Ishimura,Masunori Ishihara. Владелец: Hitachi High Technologies Corp. Дата публикации: 2010-10-07.

Dry etching method and apparatus for manufacturing a semiconductor device

Номер патента: US5990016A. Автор: Byong-dong Kim,Jung-kyu Lee,Sung-il Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-11-23.

Dry etching method

Номер патента: US4406733A. Автор: Shinichi Tachi. Владелец: HITACHI LTD. Дата публикации: 1983-09-27.

Multi-step local dry etching method for SOI wafer

Номер патента: US20040063329A1. Автор: Yasuhiro Horiike,Kazuyuki Tsuruoka,Michihiko Yanagisawa. Владелец: SpeedFam Co Ltd. Дата публикации: 2004-04-01.

Silicon (Si) Dry Etch for Die-to-Wafer Thinning

Номер патента: US20240213028A1. Автор: Prayudi LIANTO,Guan Huei See,Arvind Sundarrajan,Cheng Sun,ChangBum YONG. Владелец: Applied Materials Inc. Дата публикации: 2024-06-27.

Dry etching Method

Номер патента: US5635021A. Автор: Kenji Harafuji. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1997-06-03.

Dry etching method for a gallium nitride type compound semiconductor

Номер патента: US5693180A. Автор: Satoshi Sugahara,Katsuki Furukawa. Владелец: Sharp Corp. Дата публикации: 1997-12-02.

Local dry etching apparatus and local dry etching fabrication method

Номер патента: US20160203989A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2016-07-14.

Parallel plate dry etching apparatus and method for manufacturing semiconductor device using same

Номер патента: US20140273494A1. Автор: Shingo Honda. Владелец: Toshiba Corp. Дата публикации: 2014-09-18.

Dry etching apparatus

Номер патента: US6165334A. Автор: Koji Watanabe. Владелец: Fujitsu Ltd. Дата публикации: 2000-12-26.

Dry-etching process simulator

Номер патента: US5421934A. Автор: Noboru Nomura,Akio Misaka,Kenji Harafugi,Masafumi Kubbota. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1995-06-06.

Electrode for dry etching a wafer

Номер патента: US20050178505A1. Автор: Young Yul Kim. Владелец: Individual. Дата публикации: 2005-08-18.

Dry etching apparatus and its manufacturing method

Номер патента: US6350698B1. Автор: Yukihiro Kamide. Владелец: Sony Corp. Дата публикации: 2002-02-26.

Device for dry etching a wafer and appertaining method

Номер патента: US20010008804A1. Автор: Franz Sumnitsch. Владелец: Individual. Дата публикации: 2001-07-19.

Selective wet etching and textured surface planarization processes

Номер патента: US09558954B2. Автор: Hong Lu,Scott W. Duncan. Владелец: Luminus Devices Inc. Дата публикации: 2017-01-31.

Plasma processing apparatus for vapor phase etching and cleaning

Номер патента: US20150059979A1. Автор: Sung Yong Kang,Gyoo Dong KIM,Woo Gon SHIN. Владелец: Gen Co Ltd. Дата публикации: 2015-03-05.

Dry Etching Agent, Dry Etching Method and Method for Producing Semiconductor Device

Номер патента: US20190345385A1. Автор: Yao Akifumi,Kashiwaba Takashi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2019-11-14.

HIGH DRY ETCH RATE MATERIALS FOR SEMICONDUCTOR PATTERNING APPLICATIONS

Номер патента: US20180061650A1. Автор: Swaminathan Shankar,LaVoie Adrien,Karim Ishtak,KUMAR Purushottam,Mahorowala Arpan. Владелец: . Дата публикации: 2018-03-01.

Method of texturing semiconductor substrate for solar cell using dry etching

Номер патента: KR100970118B1. Автор: 한용필,김병준,배이태. Владелец: (주)제스솔라. Дата публикации: 2010-07-15.

DRY ETCHING GAS COMPOSITION COMPRISING SULFUR-CONTAINING FLUOROCARBON COMPOUND AND DRY ETCHING METHOD USING THE SAME

Номер патента: US20220135882A1. Автор: KATO Korehito,SHIMIZU Hisashi. Владелец: . Дата публикации: 2022-05-05.

Dry etching gas and dry etching method

Номер патента: JP5407101B2. Автор: 新吾 中村,博一 青山,充司 板野,全孝 廣瀬. Владелец: Daikin Industries Ltd. Дата публикации: 2014-02-05.

Dry etching composition and dry etching method

Номер патента: JP6323540B1. Автор: 勇 毛利,章史 八尾,啓之 大森. Владелец: Central Glass Co Ltd. Дата публикации: 2018-05-16.

Dry-etching process, dry-etching gas and process for producing perfluoro-2-pentyne

Номер патента: TWI288442B. Автор: Toshiro Yamada,Tatsuya Sugimoto. Владелец: Zeon Corp. Дата публикации: 2007-10-11.

Dry-etching process, dry-etching gas and process for producing perfluoro-2-pentyne

Номер патента: TW200405461A. Автор: Toshiro Yamada,Tatsuya Sugimoto. Владелец: Zeon Corp. Дата публикации: 2004-04-01.

Dry etching method, method for producing semiconductor device, and etching device

Номер патента: EP4047636A4. Автор: Akifumi YAO,Kunihiro Yamauchi,Hikaru KITAYAMA. Владелец: Central Glass Co Ltd. Дата публикации: 2023-11-29.

Dry etching method

Номер патента: AU2008239010A1. Автор: Koukou Suu,Yasuhiro Morikawa. Владелец: Ulvac Inc. Дата публикации: 2008-10-23.

Dry etching method of insulating film

Номер патента: TW200818301A. Автор: Masatoshi Oyama,Nobuyuki Negishi,Masahiro Sumiya. Владелец: Hitachi High Tech Corp. Дата публикации: 2008-04-16.

Controlling Method for Apparatus for Dry Etching

Номер патента: KR101938306B1. Автор: 최상준,이경진. Владелец: 최상준. Дата публикации: 2019-01-14.

Uniform dry etch in two stages

Номер патента: US20120196447A1. Автор: Jing Tang,Nitin Ingle,Dongqing Yang. Владелец: Applied Materials Inc. Дата публикации: 2012-08-02.

DRY ETCHING METHOD

Номер патента: US20220172956A1. Автор: Yao Akifumi,SUZUKI Shoi. Владелец: . Дата публикации: 2022-06-02.

DRY ETCHING APPARATUS

Номер патента: US20190122893A1. Автор: LEE Kyung Jin,KANG Ji Sung,CHOI Sang Jun. Владелец: VAULT CREATION CO., LTD.. Дата публикации: 2019-04-25.

Manufacturing method of semiconductor device and dry etching apparatus for the same

Номер патента: US20140273482A1. Автор: Masaki Matsui,Yoshinori Tsuchiya,Shinichi Hoshi. Владелец: Denso Corp. Дата публикации: 2014-09-18.

System and method of determining process completion of post heat treatment of a dry etch process

Номер патента: US20170221781A1. Автор: Aelan Mosden,Jacob Theisen. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-03.

Dry Etching Method

Номер патента: US20200365411A1. Автор: Yao Akifumi,SUZUKI Shoi. Владелец: . Дата публикации: 2020-11-19.

Dry etching method using hbr or br

Номер патента: KR930001500B1. Автор: 모리다까 나까무라,다까시 구리모또,가쯔히꼬 이이즈까. Владелец: 후지쓰 가부시끼가이샤. Дата публикации: 1993-03-02.

Dry etching method

Номер патента: JP4865361B2. Автор: 謙一 桑原,聡 宇根,朋祥 市丸,正道 坂口. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-02-01.

Method of dry etching using selective polymer mask formed by CO gas

Номер патента: KR100621562B1. Автор: 박완재,오영묵,장호선. Владелец: 삼성전자주식회사. Дата публикации: 2006-09-14.

Dry etching process

Номер патента: KR970067691A. Автор: 게이찌 하라시마. Владелец: 닛폰덴기 가부시끼가이샤. Дата публикации: 1997-10-13.

Dry etching method

Номер патента: KR100225552B1. Автор: 다쓰미데쓰야. Владелец: 이데이 노부유끼. Дата публикации: 1999-10-15.

Method and apparatus for dry etching of silicon nitride film

Номер патента: JPS6399533A. Автор: Nobuo Hayasaka,伸夫 早坂,Haruo Okano,晴雄 岡野,Sayaka Sudou,須藤 さやか. Владелец: Toshiba Corp. Дата публикации: 1988-04-30.

Uniform dry etch in two stages

Номер патента: US8741778B2. Автор: Jing Tang,Nitin Ingle,Dongqing Yang. Владелец: Applied Materials Inc. Дата публикации: 2014-06-03.

Dry etch process

Номер патента: US8765574B2. Автор: Nitin K. Ingle,Anchuan Wang,Jingchun Zhang. Владелец: Applied Materials Inc. Дата публикации: 2014-07-01.

Dry etching method

Номер патента: KR100274080B1. Автор: 가도무라신고. Владелец: 이데이 노부유끼. Дата публикации: 2000-12-15.

Method for Dry Etching of Copper Thin Films

Номер патента: KR102081614B1. Автор: 정지원,임은택,류진수. Владелец: 인하대학교 산학협력단. Дата публикации: 2020-02-26.

Dry etching method for interlayer insulating film

Номер патента: TWI437633B. Автор: Koukou Suu,Yasuhiro Morikawa. Владелец: Ulvac Inc. Дата публикации: 2014-05-11.

Uniform dry etch in two stages

Номер патента: TWI541889B. Автор: 楊東青,湯靜,茵可尼汀. Владелец: 應用材料股份有限公司. Дата публикации: 2016-07-11.

Dry etching method and apparatus for use in the LCD device

Номер патента: US20010020517A1. Автор: Byung-Young Ahn. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2001-09-13.

Dry etching composition and dry etching composition-filled container

Номер патента: US20190055469A1. Автор: Munehiro HYAKUTAKE. Владелец: Zeon Corp. Дата публикации: 2019-02-21.

Dry Etching Agent and Dry Etching Method Using the Same

Номер патента: US20140242803A1. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2014-08-28.

Dry etching agent and dry etching method using the same

Номер патента: TW201137088A. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2011-11-01.

Dry-etching composition and container filled with dry-etching composition

Номер патента: EP3432347A4. Автор: Munehiro HYAKUTAKE. Владелец: Zeon Corp. Дата публикации: 2019-11-06.

Dry Etching Method

Номер патента: US20180204728A1. Автор: Yao Akifumi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2018-07-19.

Dry etching method

Номер патента: JP2023001302A. Автор: Akifumi YAO,章史 八尾,聖唯 鈴木,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2023-01-04.

Dry etching method

Номер патента: KR102419013B1. Автор: 아키후미 야오,쇼이 스즈키. Владелец: 샌트랄 글래스 컴퍼니 리미티드. Дата публикации: 2022-07-08.

Transition metal dry etch by atomic layer removal of oxide layers for device fabrication

Номер патента: EP3311398A1. Автор: Patricio E. Romero,John J. Plombon. Владелец: Intel Corp. Дата публикации: 2018-04-25.

Dry etching process and method for manufacturing magnetic memory device

Номер патента: US20070026681A1. Автор: Tetsuya Tatsumi,Seiji Samukawa,Toshiaki Shiraiwa. Владелец: Sony Corp. Дата публикации: 2007-02-01.

Dry etching method

Номер патента: US20020119667A1. Автор: Mitsuhiro Okuni. Владелец: Individual. Дата публикации: 2002-08-29.

Dry etching method, microfabrication process and dry etching mask

Номер патента: US20020028359A1. Автор: Kenji Uchiyama,Kazuhiro Hattori. Владелец: TDK Corp. Дата публикации: 2002-03-07.

Transition metal dry etch by atomic layer removal of oxide layers for device fabrication

Номер патента: US20180138054A1. Автор: Patricio E. Romero,John J. Plombon. Владелец: Intel Corp. Дата публикации: 2018-05-17.

Dry etching method

Номер патента: US20190080928A1. Автор: Lei Zhao,Qingzhao Liu,Jiushi WANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-03-14.

Dry etching method

Номер патента: US10468271B2. Автор: Lei Zhao,Qingzhao Liu,Jiushi WANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-11-05.

Dry Etching Method, Semiconductor Device Manufacturing Method, and Chamber Cleaning Method

Номер патента: US20190355590A1. Автор: Akifumi YAO,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2019-11-21.

Anisotropic dry etching of cu-containing layers

Номер патента: WO2004003256A1. Автор: Lee Chen,Audunn Ludviksson. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2004-01-08.

Methods and systems for dry etching

Номер патента: US20240006157A1. Автор: Chien-Liang Chen,Meng-Chang Wu,Shao-Chien Hsu,Jung-Wang Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-04.

Dry etching method of copper or copper alloy interconnection layer employing plasma of an iodine compound

Номер патента: US5240559A. Автор: Tomoaki Ishida. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-08-31.

Method and structure of pattern mask for dry etching

Номер патента: SG143176A1. Автор: Wen-Kun Yang,Jui-Hsien Chang,Chi-Chen Lee. Владелец: Advanced Chip Eng Tech Inc. Дата публикации: 2008-06-27.

Non-plasma dry etching apparatus

Номер патента: US20140305590A1. Автор: Hiroshi Tanabe,Yasushi Taniguchi,Naoshi Yamaguchi. Владелец: Panasonic Corp. Дата публикации: 2014-10-16.

Dry etching gas and dry etching method using the same

Номер патента: TW200948934A. Автор: Shingo Nakamura. Владелец: Daikin Ind Ltd. Дата публикации: 2009-12-01.

Dry etching method of semiconductor substrate and dry etching method of silicon oxide film

Номер патента: EP4152362A4. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-08-21.

Gas composition for dry etching and dry etching method

Номер патента: US20190057878A1. Автор: Yoshinao Takahashi,Korehito Kato,Tetsuya FUKASAWA,Yoshihiko IKETANI. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2019-02-21.

GAS COMPOSITION FOR DRY ETCHING AND DRY ETCHING METHOD

Номер патента: US20180108537A1. Автор: TAKAHASHI Yoshinao,KATO Korehito,FUKASAWA Tetsuya,IKETANI Yoshihiko. Владелец: . Дата публикации: 2018-04-19.

Gas composition for dry etching and dry etching method

Номер патента: US10431472B2. Автор: Yoshinao Takahashi,Korehito Kato,Tetsuya FUKASAWA,Yoshihiko IKETANI. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2019-10-01.

Dry Etching Method and Dry Etching Agent

Номер патента: US20170084467A1. Автор: Yao Akifumi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2017-03-23.

FILTER, METHOD FOR PRODUCING THE SAME, DRY ETCHING APPARATUS, AND DRY ETCHING METHOD

Номер патента: US20190105588A1. Автор: HIRANO Takaaki,HYAKUTAKE Munehiro. Владелец: ZEON CORPORATION. Дата публикации: 2019-04-11.

DRY ETCHING APPARATUS AND DRY ETCHING METHOD

Номер патента: US20210335625A1. Автор: Kofuji Naoyuki,KUWAHARA Kenichi. Владелец: . Дата публикации: 2021-10-28.

Dry Etching Agent Composition and Dry Etching Method

Номер патента: US20190287812A1. Автор: Mori Isamu,Yao Akifumi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2019-09-19.

A dry-etching method and an equipment for dry-etching

Номер патента: EP1063691A2. Автор: Takashi Matsuura,Junichi Murota. Владелец: Tohoku University NUC. Дата публикации: 2000-12-27.

Dry etching apparatus and dry etching method

Номер патента: CN100362632C. Автор: 及川弘太. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2008-01-16.

Dry etching method and dry etching device

Номер патента: KR940006216A. Автор: 신이찌 이마이,노리히코 타마키. Владелец: 마쯔시다덴기산교 가부시기가이샤. Дата публикации: 1994-03-23.

Dry etching process and a fabrication process of a semiconductor device using such a dry etching process

Номер патента: TW580733B. Автор: Kunihiko Nagase. Владелец: Fujitsu Ltd. Дата публикации: 2004-03-21.

Methods for selective dry etching gallium oxide

Номер патента: US20220076960A1. Автор: Feng Q. Liu,Mark J. Saly,Lakmal C. Kalutarage,Lisa J. Enman. Владелец: Applied Materials Inc. Дата публикации: 2022-03-10.

Methods for selective dry etching gallium oxide

Номер патента: WO2022055712A1. Автор: Feng Q. Liu,Mark J. Saly,Lakmal C. Kalutarage,Lisa J. Enman. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-17.

Nitrogen-containing aromatic or ring structure molecules for plasma etch and deposition

Номер патента: US20240242971A1. Автор: Xiangyu GUO,Nathan Stafford,Scott BILTEK. Владелец: American Air Liquide Inc. Дата публикации: 2024-07-18.

REACTION CHAMBER, DRY ETCHING MACHINE AND ETCHING METHOD

Номер патента: US20200381220A1. Автор: HE HUAILIANG. Владелец: . Дата публикации: 2020-12-03.

High-temperature selective dry etch having reduced post-etch solid residue

Номер патента: US8475674B2. Автор: Jing Tang,Nitin Ingle,Dongqing Yang,Kiran V. Thadani. Владелец: Applied Materials Inc. Дата публикации: 2013-07-02.

Method for increasing etch rate during deep silicon dry etch

Номер патента: US20090215263A1. Автор: Kyle Kirby,Swarnal Borthakur. Владелец: Micron Technology Inc. Дата публикации: 2009-08-27.

Dry etch of phosphosilicate glass with selectivity to undoped oxide

Номер патента: US4807016A. Автор: Monte A. Douglas. Владелец: Texas Instruments Inc. Дата публикации: 1989-02-21.

Reaction chamber, dry etching machine, and etching method

Номер патента: WO2020056890A1. Автор: 何怀亮. Владелец: 惠科股份有限公司. Дата публикации: 2020-03-26.

Methods for selective dry etching gallium oxide

Номер патента: US11942330B2. Автор: Feng Q. Liu,Mark J. Saly,Lakmal C. Kalutarage,Lisa J. Enman. Владелец: Applied Materials Inc. Дата публикации: 2024-03-26.

Silicon nitride film dry etching method

Номер патента: TW200901316A. Автор: Hisao Tosaka. Владелец: Casio Computer Co Ltd. Дата публикации: 2009-01-01.

Method and composition for dry etching in semiconductor fabrication

Номер патента: TW494492B. Автор: Changhun Lee,Yun-Yen Jack Yang. Владелец: Lam Res Corppration. Дата публикации: 2002-07-11.

Dry etch of boron-containing material

Номер патента: WO2024155468A1. Автор: Qian Fu,Jeong Hwan Kim,Hang Yu,Srinivas Guggilla,Siyu ZHU,Yeonju Kwak. Владелец: Applied Materials, Inc.. Дата публикации: 2024-07-25.

Dry etch of boron-containing material

Номер патента: US20240249953A1. Автор: Qian Fu,Jeong Hwan Kim,Hang Yu,Srinivas Guggilla,Siyu ZHU,Yeonju Kwak. Владелец: Applied Materials Inc. Дата публикации: 2024-07-25.

Process and apparatus for dry-etching a semiconductor layer

Номер патента: TW445542B. Автор: Kyun-Su Shin,Kien-Koo Chi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-07-11.

Method for dry-etching lithium niobate

Номер патента: EP4290556A4. Автор: Jian Liu,Kaidong Xu,Dongchen CHE,Taiyan PENG,Yingxiong FENG,Haicheng DAI. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2024-08-14.

Method of dry-etching a multi-layer film material

Номер патента: TW200425330A. Автор: Kenji Kawai. Владелец: Renesas Tech Corp. Дата публикации: 2004-11-16.

Method of dry-etching a multi-layer film material

Номер патента: TWI245342B. Автор: Kenji Kawai. Владелец: Renesas Tech Corp. Дата публикации: 2005-12-11.

System and method for providing a dry-wet-dry etch procedure to create a sidewall profile of a via

Номер патента: US7115500B1. Автор: Victor M. Torres. Владелец: National Semiconductor Corp. Дата публикации: 2006-10-03.

Dry etch and epitaxial deposition process and apparatus

Номер патента: WO2007092130A3. Автор: Chien-Teh Kao,Yihwan Kim,Satheesh Kuppurao,See-Eng Phan,Andrew Lam,Xinliang Lu. Владелец: Xinliang Lu. Дата публикации: 2007-09-27.

Dry etch and epitaxial deposition process and apparatus

Номер патента: WO2007092130A2. Автор: Chien-Teh Kao,Yihwan Kim,Satheesh Kuppurao,See-Eng Phan,Andrew Lam,Xinliang Lu. Владелец: Applied Materials, Inc.. Дата публикации: 2007-08-16.

Dry etching and device thereof

Номер патента: JPS5852827A. Автор: Yoshimichi Hirobe,広部 嘉道. Владелец: HITACHI LTD. Дата публикации: 1983-03-29.

DRY-ETCHING METHOD

Номер патента: US20170162397A1. Автор: KUWAHARA Kenichi,ENOKIDA Syuji. Владелец: . Дата публикации: 2017-06-08.

Method and system for dry etching a hafnium containing material

Номер патента: WO2008033886A3. Автор: Luis Isidro Fernandez,Masafumi Urakawa. Владелец: Masafumi Urakawa. Дата публикации: 2008-05-08.

Photomask making method, photomask blank and dry etching method

Номер патента: US20130034806A1. Автор: Kazuhiro Nishikawa,Hideo Kaneko,Shinichi Igarashi,Yukio Inazuki. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2013-02-07.

DRY ETCHING METHOD

Номер патента: US20180025915A1. Автор: ZUO Yueping,MA Yinghai,LI Liangjian. Владелец: BOE Technology Group Co., Ltd.. Дата публикации: 2018-01-25.

Silicon dry etching method

Номер патента: US20220044938A1. Автор: Kenta Doi,Toshiyuki Nakamura,Yasuhiro Morikawa,Toshiyuki SAKUISHI. Владелец: Ulvac Inc. Дата публикации: 2022-02-10.

DRY ETCH PROCESS

Номер патента: US20140134842A1. Автор: Wang Anchuan,Zhang Jingchun,Ingle Nitin K.. Владелец: Applied Materials, Inc.. Дата публикации: 2014-05-15.

METHODS FOR SELECTIVE DRY ETCHING GALLIUM OXIDE

Номер патента: US20220076960A1. Автор: Saly Mark J.,Kalutarage Lakmal C.,Enman Lisa J.,Liu Feng Q.. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-10.

DRY-ETCH SELECTIVITY

Номер патента: US20140141621A1. Автор: Wang Anchuan,Ingle Nitin K.,Baek Jonghoon,Ren He,Yang Jang-Gyoo,Chen Xinglong,Garg Saurabh,Park Soonam. Владелец: Applied Materials, Inc.. Дата публикации: 2014-05-22.

Silicon Oxide Selective Dry Etch Process

Номер патента: US20210066088A1. Автор: ZHANG Qi,Lu Xinliang,Chung Hua,Yang Haichun. Владелец: . Дата публикации: 2021-03-04.

DRY-ETCH SELECTIVITY

Номер патента: US20150132968A1. Автор: Wang Anchuan,Ingle Nitin K.,Baek Jonghoon,Ren He,Yang Jang-Gyoo,Chen Xinglong,Garg Saurabh,Park Soonam. Владелец: . Дата публикации: 2015-05-14.

Highly Selective Dry Etch Process for Vertical FET STI Recess

Номер патента: US20200126805A1. Автор: Sankarapandian Muthumanickam,Belyansky Michael P.,Bi Zhenxing,Conti Richard A.. Владелец: . Дата публикации: 2020-04-23.

METHODS FOR SELECTIVE DRY ETCHING GALLIUM OXIDE

Номер патента: US20220301883A1. Автор: Saly Mark J.,Kalutarage Lakmal C.,Enman Lisa J.,Liu Feng Q.. Владелец: Applied Materials, Inc.. Дата публикации: 2022-09-22.

METHOD FOR MATERIAL REMOVAL IN DRY ETCH REACTOR

Номер патента: US20150214066A1. Автор: Nemani Srinivas D.,Kang Sean S.,LUERE Olivier. Владелец: Applied Materials, Inc.. Дата публикации: 2015-07-30.

Dry Etching Method

Номер патента: US20160218015A1. Автор: OOMORI Hiroyuki,KIKUCHI Akiou. Владелец: . Дата публикации: 2016-07-28.

SELECTIVE DRY ETCH FOR DIRECTED SELF ASSEMBLY OF BLOCK COPOLYMERS

Номер патента: US20180211831A1. Автор: Engelmann Sebastian U.,Miyazoe Hiroyuki,Tsai Hsinyu,Jagtiani Ashish V.. Владелец: . Дата публикации: 2018-07-26.

CONFORMAL OXIDE DRY ETCH

Номер патента: US20140308818A1. Автор: Wang Anchuan,Zhang Jingchun,Ingle Nitin K.,Lee Young S.. Владелец: . Дата публикации: 2014-10-16.

Methods And Apparatus For Selective Dry Etch

Номер патента: US20170263438A1. Автор: Xia Li-Qun,Wang Anchuan,LI NING,Yang Dongqing,Balseanu Mihaela. Владелец: . Дата публикации: 2017-09-14.

RESIN COMPOSITION, DRY-ETCHING RESIST MASK, AND PATTERNING METHOD

Номер патента: US20160272737A1. Автор: Ibe Takeshi,Yada Makoto. Владелец: . Дата публикации: 2016-09-22.

Dry Etching Method

Номер патента: US20150311039A1. Автор: Xi Chen,Liangliang LI,Yao Liu,Xiaowei Liu,Jinchao BAI,Xiangqian Ding. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2015-10-29.

DRY-ETCH FOR SELECTIVE OXIDATION REMOVAL

Номер патента: US20150311089A1. Автор: Wang Anchuan,Ingle Nitin K.,Lee Young S.,Kim Sang Hyuk,Yang Dongqing,KIM Sang-Jin,Jung Weon Young,Hsu Ching-Mei. Владелец: . Дата публикации: 2015-10-29.

DRY ETCHING DEVICE AND METHOD FOR CONTROLLING SAME

Номер патента: US20190318942A1. Автор: KANG Ji Sung,CHOI Sang Jun. Владелец: VAULT CREATION CO., LTD.. Дата публикации: 2019-10-17.

DRY ETCHING METHOD

Номер патента: US20150357200A1. Автор: INUI Hirotoshi. Владелец: ZEON CORPORATION. Дата публикации: 2015-12-10.

Dry etching method for metallization pattern profiling

Номер патента: KR102279612B1. Автор: 야닉 페르쁘리에. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2021-07-19.

Non-plasma dry etching method

Номер патента: CN108847391B. Автор: 王晓娟,王春,郑波,马振国,吴鑫. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2021-06-08.

Dry etching method and semiconductor device manufacturing method

Номер патента: JP6697372B2. Автор: 明生 宇井,陽介 佐藤,香織 成宮,久貴 林,圭介 菊谷. Владелец: Kioxia Corp. Дата публикации: 2020-05-20.

Plasma dry etching method

Номер патента: KR0171070B1. Автор: 이병하. Владелец: 문정환. Дата публикации: 1999-03-30.

Method for dry etching

Номер патента: KR100752186B1. Автор: 조보연. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-08-24.

Dry etching method of layered structure oxide thin film

Номер патента: JP3114916B2. Автор: ビー. デスー セシュ,パン ウェイ. Владелец: Sharp Corp. Дата публикации: 2000-12-04.

Dry etching method

Номер патента: JP2956602B2. Автор: 秀行 庄司,隆一 楠木. Владелец: Nippon Electric Co Ltd. Дата публикации: 1999-10-04.

Silicon dry etching method

Номер патента: KR20220017837A. Автор: 토시유키 나카무라,켄타 도이,토시유키 사쿠이시,야스히로 모리카와. Владелец: 가부시키가이샤 아루박. Дата публикации: 2022-02-14.

Dry etching method using plasma

Номер патента: KR100866495B1. Автор: 안효준,이규홍,심규환,문성훈,이진효,신희천,양전욱. Владелец: (주) 알에프세미. Дата публикации: 2008-11-03.

Dry etching method and method for producing semiconductor device

Номер патента: TW202100805A. Автор: 古谷俊太,大森啓之. Владелец: 日商中央硝子股份有限公司. Дата публикации: 2021-01-01.

Dry etch method for silicon oxide

Номер патента: KR100870914B1. Автор: 이상선. Владелец: 주식회사 테스. Дата публикации: 2008-11-28.

Dry etching method for semiconductor

Номер патента: JP3078821B2. Автор: 雅文 橋本,勝英 真部,正樹 森,正宏 小滝. Владелец: Japan Science and Technology Corp. Дата публикации: 2000-08-21.

Method for dry etching in semiconductor processing

Номер патента: KR100685733B1. Автор: 윤석훈,정민제. Владелец: 삼성전자주식회사. Дата публикации: 2007-02-23.

Dry etching method

Номер патента: JPWO2003007357A1. Автор: 昭貴 清水,隆 榎本,明輝 高,清水 昭貴,敬 鶴田,榎本 隆,広実 岡. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-11-04.

Initial plasma treatment for vertical dry etching of sio2

Номер патента: CA2349033A1. Автор: Boris Lamontagne,William Render. Владелец: OPTENIA, INC.. Дата публикации: 2002-11-28.

method for dry-etching of silicon substrate

Номер патента: GB2316805B. Автор: Hideyuki Shoji,Takakazu Kusuki. Владелец: NEC Corp. Дата публикации: 2001-06-27.

Dry etching agent and dry etching method

Номер патента: TW201217500A. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2012-05-01.

Dry-etch for selective tungsten removal

Номер патента: US09412608B2. Автор: Xikun Wang,Nitin K. Ingle,Anchuan Wang,Zihui Li,Ching-Mei Hsu. Владелец: Applied Materials Inc. Дата публикации: 2016-08-09.

Dry etching process using plasma

Номер патента: IE48784B1. Автор: . Владелец: Western Electric Co. Дата публикации: 1985-05-15.

Dry etchant and dry etching method

Номер патента: TWI444456B. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2014-07-11.

Dry Etching Gas and Dry Etching Method

Номер патента: US20180066187A1. Автор: Yao Akifumi,FUJIWARA Masaki,NAKAMURA Yosuke,OOMORI Hiroyuki. Владелец: . Дата публикации: 2018-03-08.

Local dry etching apparatus and local dry etching fabrication method

Номер патента: US20160203989A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2016-07-14.

DRY ETCHING GAS COMPOSITION AND DRY ETCHING METHOD

Номер патента: US20200234962A1. Автор: KATO Korehito,IKETANI Yoshihiko,SHIMIZU Hisashi,SHIBUSAWA Yukinobu. Владелец: . Дата публикации: 2020-07-23.

Dry etching apparatus and dry etching method

Номер патента: JP5808697B2. Автор: 勝 伊澤,政士 森,森 政士,伊澤 勝,勝嗣 八木. Владелец: Hitachi High Technologies Corp. Дата публикации: 2015-11-10.

Dry etching apparatus and dry etching method

Номер патента: JPWO2008140012A1. Автор: 俊雄 林,泰宏 森川,紅コウ 鄒,森川 泰宏,鄒 紅コウ,林 俊雄. Владелец: Ulvac Inc. Дата публикации: 2010-08-05.

Filter, method for producing same, dry etching apparatus and dry etching method

Номер патента: TW201800139A. Автор: 百武宗洋,平野孝明. Владелец: 日本瑞翁股份有限公司. Дата публикации: 2018-01-01.

Dry etching method, dry etching agent and semiconductor device manufacturing method

Номер патента: JP6788177B2. Автор: 章史 八尾,啓之 大森,辰徳 上田. Владелец: Central Glass Co Ltd. Дата публикации: 2020-11-25.

Dry etching apparatus and dry etching method

Номер патента: TW200903632A. Автор: Toshio Hayashi,Yasuhiro Morikawa,Kou-Kou Suu. Владелец: Ulvac Inc. Дата публикации: 2009-01-16.

Filter, method for producing same, dry etching apparatus and dry etching method

Номер патента: WO2017169809A1. Автор: 孝明 平野,宗洋 百武. Владелец: 日本ゼオン株式会社. Дата публикации: 2017-10-05.

Dry etching agent and dry etching method

Номер патента: EP2595179A1. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2013-05-22.

Dry etching agent and dry etching method

Номер патента: US9017571B2. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2015-04-28.

Plasma processing apparatus for dry etching of semiconductor wafers

Номер патента: US5735993A. Автор: Kazuyoshi Yoshida. Владелец: NEC Corp. Дата публикации: 1998-04-07.

Method of dry etching copper thin film and semiconductor device

Номер патента: US11791165B2. Автор: Cheewon CHUNG,Jaesang CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-17.

Dry etching method

Номер патента: US5733820A. Автор: Kazuo Sugimoto,Satoshi Morishita,Kouichiro Adachi. Владелец: Sharp Corp. Дата публикации: 1998-03-31.

Dry etching apparatus and etching method organic light emitting display device using the same

Номер патента: KR100759684B1. Автор: 김종윤. Владелец: 삼성에스디아이 주식회사. Дата публикации: 2007-09-17.

Dry-etching of indium and tin oxydes

Номер патента: WO1998059381A1. Автор: Jie Chen,Yuen-Kui Wong. Владелец: Applied Komatsu Technology, Inc.. Дата публикации: 1998-12-30.

Device for dry etching a wafer and appertaining method

Номер патента: GB9903653D0. Автор: . Владелец: Sez Ag. Дата публикации: 1999-04-07.

A method for dry etching a wafer

Номер патента: GB2334620B. Автор: Franz Sumnitsch. Владелец: Sez Ag. Дата публикации: 2002-12-24.

Wiring layer dry etching method and semiconductor device manufacturing method

Номер патента: TW541579B. Автор: Kenji Kawai,Atsunori Nishiura,Ryoichi Yoshifuku. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-07-11.

Dry etching method

Номер патента: TW527441B. Автор: Teiichi Kimura,Yoshihiro Yanagi,Kiyohiko Takagi. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2003-04-11.

Dry etching using plasma

Номер патента: AU4923579A. Автор: Cyril Joseph Mogab. Владелец: Western Electric Co Inc. Дата публикации: 1980-02-07.

Dry etching process using plasma

Номер патента: GB2026396A. Автор: . Владелец: Western Electric Co Inc. Дата публикации: 1980-02-06.

Dry etching method

Номер патента: TW364168B. Автор: Kazunori Tsujimoto,Naoyuki Kofuji. Владелец: HITACHI LTD. Дата публикации: 1999-07-11.

Dry Etching Method

Номер патента: US20140206196A1. Автор: Mori Isamu,Umezaki Tomonori. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2014-07-24.

Dry etching apparatus

Номер патента: KR910009321B1. Автор: 야스오 다나까,가즈유끼 도미다,마스오 탄노. Владелец: 다니이 아끼오. Дата публикации: 1991-11-09.

Semiconductor wafer dry etching electrode

Номер патента: JP4152895B2. Автор: キム,ヨンユル. Владелец: シーアイ サイエンス,インコーポレイテッド. Дата публикации: 2008-09-17.

DRY-ETCH FOR SILICON-AND-NITROGEN-CONTAINING FILMS

Номер патента: US20130130507A1. Автор: Wang Yunyu,Wang Anchuan,Zhang Jingchun,Ingle Nitin K.,Lee Young S.. Владелец: Applied Materials, Inc.. Дата публикации: 2013-05-23.

Silicon Dry Etching Method

Номер патента: US20160005612A1. Автор: Mori Isamu,KIKUCHI Akiou,Watari Masanori. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2016-01-07.

DRY-ETCH FOR SELECTIVE TUNGSTEN REMOVAL

Номер патента: US20140154889A1. Автор: Wang Anchuan,Ingle Nitin K.,Wang Xikun,Hsu Ching-Mei,Li Zihui. Владелец: Applied Materials, Inc.. Дата публикации: 2014-06-05.

V TRENCH DRY ETCH

Номер патента: US20160079072A1. Автор: Wang Anchuan,Ingle Nitin K.,Wang Xikun. Владелец: . Дата публикации: 2016-03-17.

DRY ETCHING METHOD

Номер патента: US20150099368A1. Автор: ONO Tetsuo,SHEN Ze,YASUNAMI Hisao. Владелец: . Дата публикации: 2015-04-09.

DRY ETCHING APPARATUS AND METHOD

Номер патента: US20160141183A1. Автор: Izawa Masaru,MORI Masahito,Yagi Katsushi. Владелец: . Дата публикации: 2016-05-19.

DRY-ETCH FOR SELECTIVE TUNGSTEN REMOVAL

Номер патента: US20150179464A1. Автор: Wang Anchuan,Ingle Nitin K.,Wang Xikun,Hsu Ching-Mei,Li Zihui. Владелец: . Дата публикации: 2015-06-25.

DRY-ETCH FOR SILICON-AND-CARBON-CONTAINING FILMS

Номер патента: US20140273491A1. Автор: Wang Yunyu,Wang Anchuan,Zhang Jingchun,Ingle Nitin K.,Lee Young. Владелец: Applied Materials, Inc.. Дата публикации: 2014-09-18.

PARALLEL PLATE DRY ETCHING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING SAME

Номер патента: US20140273494A1. Автор: Honda Shingo. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2014-09-18.

METHOD FOR REMOVING ADHERING MATTER AND DRY ETCHING METHOD

Номер патента: US20170200602A1. Автор: Tsubota Yasutoshi,Kameda Kenji,HIYAMA Shin,KIKUCHI Akiou,Watari Masanori. Владелец: . Дата публикации: 2017-07-13.

DRY ETCHING AGENT

Номер патента: US20140302683A1. Автор: Mori Isamu,Umezaki Tomonori,Hibino Yasuo,OKAMOTO Satoru,KIKUCHI Akiou. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2014-10-09.

DRY ETCHING METHOD

Номер патента: US20150221518A1. Автор: MORI Masahito,ARASE Takao,TERAKURA Satoshi,Machida Ryuta. Владелец: . Дата публикации: 2015-08-06.

Method of dry etching copper thin film

Номер патента: US20200211860A1. Автор: Cheewon CHUNG,Jaesang CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-07-02.

System and Method for Performing Spin Dry Etching

Номер патента: US20190252237A1. Автор: Tu Chih-Chiang,Chen Chun-Lang. Владелец: . Дата публикации: 2019-08-15.

METHOD OF DRY ETCHING COPPER THIN FILM AND SEMICONDUCTOR DEVICE

Номер патента: US20210391187A1. Автор: CHUNG Cheewon,CHOI Jaesang. Владелец: . Дата публикации: 2021-12-16.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND MAINTENANCE METHOD OF DRY ETCHING EQUIPMENT

Номер патента: US20170287722A1. Автор: HANAWA Toshikazu. Владелец: . Дата публикации: 2017-10-05.

DRY ETCHING METHOD

Номер патента: US20160307765A1. Автор: ONO Tetsuo,SHEN Ze,YASUNAMI Hisao. Владелец: HITACHI HIGH-TECHNOLOGIES CORPORATION. Дата публикации: 2016-10-20.

System and Method for Performing Spin Dry Etching

Номер патента: US20170345703A1. Автор: Chih-Chiang Tu,Chun-Lang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-30.

Selective Dry Etching of Metal Films Comprising Multiple Metal Oxides

Номер патента: US20180342403A1. Автор: David Thompson,Jeffrey W. Anthis,Benjamin Schmiege. Владелец: Applied Materials Inc. Дата публикации: 2018-11-29.

Dry Etching Method and Beta-Diketone-Filled Container

Номер патента: US20190348307A1. Автор: Akifumi YAO,Takashi Masuda,Kunihiro Yamauchi. Владелец: Central Glass Co Ltd. Дата публикации: 2019-11-14.

Method for Dry Etching Compound Materials

Номер патента: US20200381261A1. Автор: RANJAN ALOK,Ventzek Peter. Владелец: . Дата публикации: 2020-12-03.

Dry etching method

Номер патента: JP4865373B2. Автор: 謙一 桑原,聡 宇根,朋祥 市丸,正道 坂口,尚輝 安井. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-02-01.

Dry etching equipment

Номер патента: JPH0618182B2. Автор: 靖夫 田中,和之 富田,益男 丹野. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1994-03-09.

Method for preparing oxide thin film transistor using dry etching process

Номер патента: KR100814901B1. Автор: 박상희,윤성민,조경익,추혜용,황치선. Владелец: 한국전자통신연구원. Дата публикации: 2008-03-19.

Nitride film ashing method using dry etching process

Номер патента: KR930000875B1. Автор: 한봉석. Владелец: 금성일렉트론 주식회사. Дата публикации: 1993-02-08.

Dry etching apparatus

Номер патента: JPS60234324A. Автор: Yoshihiko Nio,仁尾 吉彦. Владелец: Nippon Electric Co Ltd. Дата публикации: 1985-11-21.

Parallel and flat type dry etching apparatus

Номер патента: JPS61174721A. Автор: Toru Watanabe,徹 渡辺,Yasuo Naruge,成毛 康雄. Владелец: Toshiba Corp. Дата публикации: 1986-08-06.

Selective suppression of dry-etch rate of materials containing both silicon and nitrogen

Номер патента: CN103765562A. Автор: Y·王,J·张,N·K·英格尔,A·王,Y·S·李. Владелец: Applied Materials Inc. Дата публикации: 2014-04-30.

Dry etching method

Номер патента: KR100677039B1. Автор: 김상권. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-01-31.

Dry etching method

Номер патента: KR950009963A. Автор: 신고 가도무라. Владелец: 소니 가부시기가이샤. Дата публикации: 1995-04-26.

Dry etching method of mgo thin film

Номер патента: KR101394651B1. Автор: 정지원,이일훈. Владелец: 인하대학교 산학협력단. Дата публикации: 2014-05-12.

Dry etching method

Номер патента: KR100272644B1. Автор: 신고 가도무라. Владелец: 이데이 노부유끼. Дата публикации: 2000-12-01.

Exhaust ring of dry etch device

Номер патента: KR100422446B1. Автор: 이준택. Владелец: 삼성전자주식회사. Дата публикации: 2004-03-12.

Dry etching method

Номер патента: KR100238691B1. Автор: 신고 가도무라,데쓰야 다쓰미,데쓰지 나가야마. Владелец: 이데이 노부유끼. Дата публикации: 2000-01-15.

Dry etching method

Номер патента: CN103871847A. Автор: 黄海,洪齐元. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2014-06-18.

Dry etching method

Номер патента: JPS60169140A. Автор: Norio Nakazato,Makoto Nawata,Ryoji Fukuyama,良次 福山,Masaharu Saikai,誠 縄田,西海 正治,仲里 則男. Владелец: HITACHI LTD. Дата публикации: 1985-09-02.

Dry etching method of wafer backside using remote plasma generator

Номер патента: KR101131740B1. Автор: 김승기,장덕현,서정혁. Владелец: 주식회사 테라텍. Дата публикации: 2012-04-05.

Capacitor and manufacturing method thereof by dry etching

Номер патента: KR102262273B1. Автор: 이종한,금창민,강병구,구황섭,윤기상,방호섭. Владелец: (주)위드멤스. Дата публикации: 2021-06-09.

Dry etching method

Номер патента: JPS5928341A. Автор: Yasuhiro Horiike,靖浩 堀池,Masahiro Shibagaki,柴垣 正弘. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1984-02-15.

Dry etching control and end-point detection method

Номер патента: JPS593925A. Автор: Masashi Kikuchi,正志 菊池,Yasuaki Hayashi,林 康明. Владелец: Nihon Shinku Gijutsu KK. Дата публикации: 1984-01-10.

Method for Dry Etching of Copper Thin Films

Номер патента: KR101977132B1. Автор: 정지원,최재상. Владелец: 인하대학교 산학협력단. Дата публикации: 2019-05-10.

Dry etching method

Номер патента: JP3298161B2. Автор: 淳一 佐藤,新吾 門村. Владелец: Sony Corp. Дата публикации: 2002-07-02.

Dry etching method of platinum thin film

Номер патента: KR970072162A. Автор: 정지원. Владелец: 김광호. Дата публикации: 1997-11-07.

Dry etching method

Номер патента: KR0176715B1. Автор: 신고 가도무라. Владелец: 소니 가부시기가이샤. Дата публикации: 1999-04-15.

Pumping line apparatus of dry etching equipment

Номер патента: KR100778871B1. Автор: 김재승. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-11-22.

Detection of passivation dry etching termination point

Номер патента: JPS6022323A. Автор: Kazuo Fujishiro,藤城 一穂. Владелец: ROHM CO LTD. Дата публикации: 1985-02-04.

Dry-etching process

Номер патента: JPS61236125A. Автор: Ichiro Sasaki,一郎 佐々木,Fumikazu Ito,伊藤 文和. Владелец: HITACHI LTD. Дата публикации: 1986-10-21.

Dry etching

Номер патента: JPS56129326A. Автор: Masao Kato,Junichi Nishizawa,Yukihisa Takahashi. Владелец: Semiconductor Research Foundation. Дата публикации: 1981-10-09.

Dry Etching Apparatus for Manufacturing Semiconductor Devices

Номер патента: KR100431660B1. Автор: 안재수. Владелец: 삼성전자주식회사. Дата публикации: 2004-05-17.

Manufacturing device for focus ring of dry etching apparatus

Номер патента: KR101631796B1. Автор: 임재석. Владелец: 주식회사 티씨케이. Дата публикации: 2016-06-20.

Selective dry etching of metal films comprising multiple metal oxides

Номер патента: CN110832625A. Автор: 大卫·汤普森,杰弗里·W·安西斯,本杰明·施密格. Владелец: Applied Materials Inc. Дата публикации: 2020-02-21.

Dry etching method and apparatus

Номер патента: US20030066817A1. Автор: Hiroshi Tanabe,Tomohiro Okumura,Hiroshi Imai. Владелец: Individual. Дата публикации: 2003-04-10.

Dry etching apparatus

Номер патента: TW469533B. Автор: Masashi Mori,Katanobu Yokogawa,Kazunori Tsujimoto,Naoyuki Koto,Naoshi Itabashi. Владелец: HITACHI LTD. Дата публикации: 2001-12-21.

Method for detecting finishing time of dry etching reaction

Номер патента: JPS5759332A. Автор: Minoru Inoue,Kazuo Asano. Владелец: Fujitsu Ltd. Дата публикации: 1982-04-09.

Apparatus for fabricating semiconductor device and method for dry etching of silicon oxide using the same

Номер патента: KR101007534B1. Автор: 김종학. Владелец: 주식회사 테스. Дата публикации: 2011-01-14.

Dry etching method for metal film

Номер патента: US8961805B2. Автор: Takashi Sone,Eiichi Nishimura. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-02-24.

Process for dry-etching an aluminum alloy

Номер патента: EP0076860B1. Автор: Tatsumi Mizutani,Hideo Komatsu,Toshihide Ohgata. Владелец: HITACHI LTD. Дата публикации: 1986-12-30.

Dry etching method

Номер патента: KR101087514B1. Автор: 야스히로 모리카와,커우커우 쑤우. Владелец: 가부시키가이샤 알박. Дата публикации: 2011-11-28.

Crystal plane anisotropic dry etching method

Номер патента: JP3184988B2. Автор: 健二 山本,潤一 西澤. Владелец: Japan Science and Technology Corp. Дата публикации: 2001-07-09.

Dry etching method of high melting point metal film

Номер патента: KR950015619A. Автор: 히데유끼 쇼지. Владелец: 닛뽕덴끼 가부시끼가이샤. Дата публикации: 1995-06-17.

Semiconductor wafer dry etching method

Номер патента: JP4387801B2. Автор: カン,ヒョサン. Владелец: カン,ヒョサン. Дата публикации: 2009-12-24.

Dry etching method

Номер патента: KR950021175A. Автор: 쥰이찌 사또. Владелец: 소니 가부시기가이샤. Дата публикации: 1995-07-26.

System, method and apparatus for self-cleaning dry etch

Номер патента: SG132675A1. Автор: Yunsang Kim,Arthur M Howald,Andrew D Bailey Iii,Shrikant P Lohokare. Владелец: Lam Res Corp. Дата публикации: 2007-06-28.

System, method and apparatus for self-cleaning dry etch

Номер патента: US7140374B2. Автор: Yunsang Kim,Andrew D. Bailey, III,Shrikant P. Lohokare,Arthur M. Howald. Владелец: Lam Research Corp. Дата публикации: 2006-11-28.

Dry etching of transparent electrodes in a low pressure plasma reactor

Номер патента: WO1998000874A1. Автор: John P. Holland,Alex T. Demos. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 1998-01-08.

Method for preventing damage of a substrate while performing a dry etching and apparatus the same

Номер патента: KR100503897B1. Автор: 안병용. Владелец: 엘지.필립스 엘시디 주식회사. Дата публикации: 2005-07-25.

Dry etching method, and dry etching agent and storage container therefor

Номер патента: US12100600B2. Автор: Shinya Ikeda,Hiroyuki Oomori,Tatsunori Kamida. Владелец: Central Glass Co Ltd. Дата публикации: 2024-09-24.

Detecting method for dry etching machine

Номер патента: US20040110384A1. Автор: Szetsen Steven Lee. Владелец: Winbond Electronics Corp. Дата публикации: 2004-06-10.

Dry etching method

Номер патента: US20180233376A9. Автор: Yueping Zuo,Yinghai Ma,Liangjian Li. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-08-16.

Electrode fixing assembly and dry etching device

Номер патента: US20240186120A1. Автор: Zhiyuan Li,Xianfu Zeng. Владелец: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2024-06-06.

Method for separating sapphire wafer into chips using dry-etching

Номер патента: US7151045B2. Автор: Ju Hyun Kim,Bang Won Oh. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2006-12-19.

Dry etching of metal film

Номер патента: CA1136525A. Автор: Kazuo Tokitomo,Hitoshi Hoshino,Tadakazu Takada. Владелец: Fujitsu Ltd. Дата публикации: 1982-11-30.

Apparatus for detecting dry etch end point of semiconductor device manufacturing process

Номер патента: KR20040032410A. Автор: 김백원,신중욱. Владелец: 아남반도체 주식회사. Дата публикации: 2004-04-17.

Dry etching apparatus and clamp therefor

Номер патента: US20140224427A1. Автор: Shuji Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2014-08-14.

Dry-etch device and a lower electrode thereof

Номер патента: US20180047546A1. Автор: Wei Li,Kai Yu,Guangming Zhang,Hongming QU. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2018-02-15.

Local dry etching apparatus

Номер патента: US20200135429A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2020-04-30.

Integrated-circuit module collection and deposition

Номер патента: EP4385066A1. Автор: Ronald S. Cok,Christian Schulz,Michael Scharfenberg,Gabriele ROITHMEIER,António José TRINDADE. Владелец: X Celeprint Ltd. Дата публикации: 2024-06-19.

Fin etch and fin replacement for finfet integration

Номер патента: US20140117419A1. Автор: Werner Juengling. Владелец: Globalfoundries Inc. Дата публикации: 2014-05-01.

Method of plasma etching thin films of difficult to dry etch materials

Номер патента: WO2001020655A1. Автор: Martin Gutsche,Satish D. Athavale. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-03-22.

Method of plasma etching thin films of difficult to dry etch materials

Номер патента: TW478065B. Автор: Martin Gutsche,Satish Athavale. Владелец: Infineon Technologies Corp. Дата публикации: 2002-03-01.

DRY ETCHING METHOD, DRY ETCHING APPARATUS, METAL FILM, AND DEVICE INCLUDING THE METAL FILM

Номер патента: US20140352716A1. Автор: Takeda Yuta,KIKUCHI Akiou. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2014-12-04.

Method for etching polysilicon with high etch selectivity through isotropic dry etch

Номер патента: KR100945226B1. Автор: 정태우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-03-03.

Tungsten hard mask for dry etching aluminum-containing layers

Номер патента: WO2001009937A1. Автор: Martin Gutsche,Satish D. Athavale. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-02-08.

Process for low temperature, dry etching, and dry planarization of copper

Номер патента: WO2003038153A8. Автор: Nagraj Kulkarni. Владелец: Nagraj Kulkarni. Дата публикации: 2003-10-30.

TRANSITION METAL DRY ETCH BY ATOMIC LAYER REMOVAL OF OXIDE LAYERS FOR DEVICE FABRICATION

Номер патента: US20180138054A1. Автор: Romero Patricio E.,PLOMBON John J.. Владелец: . Дата публикации: 2018-05-17.

Transition metal dry etch by atomic layer removal of oxide layers for device fabrication

Номер патента: TW201712749A. Автор: Patricio E Romero,John J Plombon. Владелец: Intel Corp. Дата публикации: 2017-04-01.

Gate trim process using either wet etch or dry etch approach to target CD for selected transistors

Номер патента: US8409994B2. Автор: Angela T. Hui,Jihwan Choi,Bradley M. Davis. Владелец: SPANSION LLC. Дата публикации: 2013-04-02.

Dry etch process for titanium-tungsten films

Номер патента: SG38942A1. Автор: Liu Lianjun. Владелец: Liu Lianjun. Дата публикации: 1997-04-17.

Method for forming mask for using dry-etching and method for forming fine structure pattern

Номер патента: US20080190890A1. Автор: Akifumi Kamijima. Владелец: TDK Corp. Дата публикации: 2008-08-14.

Pattern formation method by dry etching

Номер патента: JPH0695502B2. Автор: 和裕 田中,弥一郎 渡壁,淑希 鈴木. Владелец: Mitsubishi Electric Corp. Дата публикации: 1994-11-24.

Dry etching method and method for manufacturing device

Номер патента: WO2012161026A1. Автор: 高橋 秀治. Владелец: 富士フイルム株式会社. Дата публикации: 2012-11-29.

Dry etching method and device manufacturing method

Номер патента: US20140076842A1. Автор: Shuji Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2014-03-20.

DRY ETCHING METHOD

Номер патента: US20190080928A1. Автор: Zhao Lei,Wang Jiushi,Liu Qingzhao. Владелец: . Дата публикации: 2019-03-14.

CONTROL METHOD OF DRY ETCHING APPARATUS

Номер патента: US20190103286A1. Автор: LEE Kyung Jin,KANG Ji Sung,CHOI Sang Jun. Владелец: VAULT CREATION CO., LTD.. Дата публикации: 2019-04-04.

METHODS FOR DRY ETCHING COBALT METAL USING FLUORINE RADICALS

Номер патента: US20150140812A1. Автор: GELATOS AVGERINOS V.,ZOPE Bhushan N.. Владелец: . Дата публикации: 2015-05-21.

DRY ETCHING METHOD AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер патента: US20160148851A1. Автор: Okamoto Keiji,OZEKI Kazuyuki,ARAI Hiromasa. Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2016-05-26.

METHOD FOR ANISOTROPIC DRY ETCHING OF TITANIUM-CONTAINING FILMS

Номер патента: US20180294168A1. Автор: RANJAN ALOK,Tapily Kandabara N.,Rastogi Vinayak. Владелец: . Дата публикации: 2018-10-11.

Dry Etching Method, Semiconductor Device Manufacturing Method, and Chamber Cleaning Method

Номер патента: US20190355590A1. Автор: Akifumi YAO,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2019-11-21.

Method of formation of metallization film for anti-corrosion in dry etching of al and alcu film

Номер патента: KR100238438B1. Автор: 백규하,김상기,권광호,구진근,남기수. Владелец: 정선종. Дата публикации: 2000-01-15.

Dry etching method

Номер патента: JPS6053025A. Автор: Takeshi Kimura,剛 木村,Kozo Mochiji,Akihiko Kishimoto,Hidehito Obayashi,広造 持地,大林 秀仁,岸本 晃彦. Владелец: HITACHI LTD. Дата публикации: 1985-03-26.

Dry etching method of iridium electrode

Номер патента: KR100338808B1. Автор: 정지원. Владелец: 윤종용. Дата публикации: 2002-05-31.

Dry etching method

Номер патента: JPS63238288A. Автор: Takashi Kurimoto,孝志 栗本,守孝 中村,Moritaka Nakamura. Владелец: Fujitsu Ltd. Дата публикации: 1988-10-04.

Dry etching method

Номер патента: JPS6065533A. Автор: Tatsumi Mizutani,Kazunori Tsujimoto,和典 辻本,Sadayuki Okudaira,水谷 巽,奥平 定之. Владелец: HITACHI LTD. Дата публикации: 1985-04-15.

Drying etching method

Номер патента: KR100188455B1. Автор: 후미히코 히구치,요시오 후카자와. Владелец: 도오교오 에레구토론 가부시키가이샤. Дата публикации: 1999-06-01.

Dry etching method

Номер патента: JPS6043829A. Автор: Hideki Takahashi,勉 塚田,Tsutomu Tsukada,高橋 秀輝. Владелец: Anelva Corp. Дата публикации: 1985-03-08.

Dry etching method

Номер патента: KR0181513B1. Автор: 신고 가도무라. Владелец: 오오가 노리오. Дата публикации: 1999-04-15.

Method for reducing agglomeration of silver etching by-products

Номер патента: EP4282507A1. Автор: Jiahe LI,Zhiyuan ZHENG,Kaidong Xu,Yuxin Yang,Taiyan PENG. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2023-11-29.

Post-dry etching photoresist and metal containing residue removal formulation

Номер патента: EP4388069A1. Автор: Wen Dar Liu,Yi-Chia Lee,Aiping Wu,Chung-Yi Chang,Jhih-Kuei Ge. Владелец: Versum Materials US LLC. Дата публикации: 2024-06-26.

Resin composition, dry-etching resist mask, and patterning method

Номер патента: US09777079B2. Автор: Makoto Yada,Takeshi Ibe. Владелец: DIC Corp. Дата публикации: 2017-10-03.

Systems and methods for dry etching a photodetector array

Номер патента: US20140367822A1. Автор: Pierre-Yves Delaunay. Владелец: Boeing Co. Дата публикации: 2014-12-18.

Dry-etching gas for semiconductor process

Номер патента: US20080203353A1. Автор: Dong Hyun Kim,Jong Yeol Yang,Young Hoon Ahn,Bong Suk Kim,Hae Seok Ji,Ook Jae Cho,Jae Gug Ryu. Владелец: Ulsan Chemical Co Ltd. Дата публикации: 2008-08-28.

Interconnect structure fabricated using lithographic and deposition processes

Номер патента: WO2020118558A1. Автор: Yong She,Bin Liu,Zhijun Xu,Zhicheng DING. Владелец: Intel Corporation. Дата публикации: 2020-06-18.

Dry etching method for magnetic material

Номер патента: TW200508418A. Автор: Yoshimitsu Kodaira,Taichi Hiromi. Владелец: Anelva Corp. Дата публикации: 2005-03-01.

Process for producing photovoltaic device and deposition apparatus

Номер патента: US20110201145A1. Автор: Kengo Yamaguchi,Hiroomi Miyahara. Владелец: Mitsubishi Heavy Industries Ltd. Дата публикации: 2011-08-18.

Non-plasma dry etching apparatus

Номер патента: US20140166206A1. Автор: Hiroshi Tanabe,Ichiro Nakayama,Naoshi Yamaguchi. Владелец: Panasonic Corp. Дата публикации: 2014-06-19.

Non-plasma dry etching apparatus

Номер патента: US20140305590A1. Автор: Hiroshi Tanabe,Yasushi Taniguchi,Naoshi Yamaguchi. Владелец: Panasonic Corp. Дата публикации: 2014-10-16.

Oxide semiconductor film dry etching method

Номер патента: JP5028033B2. Автор: 建六 張. Владелец: Canon Inc. Дата публикации: 2012-09-19.

Selective and precise etching and plating of conductive substrates

Номер патента: US5202222A. Автор: Martin J. Harris,Ivan K. Ho. Владелец: Shipley Co Inc. Дата публикации: 1993-04-13.

Apparatus for electrochemical etching and apparatus for electroplating

Номер патента: US20170121842A1. Автор: Teng-Yu Wang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2017-05-04.

Dry etching method

Номер патента: US20060108323A1. Автор: Shuichi Okawa. Владелец: TDK Corp. Дата публикации: 2006-05-25.

Method for manufacturing touch screen panels using a dry etching apparatus

Номер патента: US09552122B2. Автор: Bong-Sub Song,Soung-Chang Ku. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-01-24.

Non-uniform gas inlet for dry etching apparatus

Номер патента: US4780169A. Автор: Mark M. Stark,Douglas H. Warenback,David J. Drage. Владелец: CollabRx Inc. Дата публикации: 1988-10-25.

Dry etching methods for reducing fluorocarbon-containing gas emissions

Номер патента: US20240212988A1. Автор: Chun-Chieh Wang,Tzu-Ming Ou Yang,Yuan-Hao Su. Владелец: Winbond Electronics Corp. Дата публикации: 2024-06-27.

Ceramic structure, lower electrode, and dry etching machine

Номер патента: US20210335575A1. Автор: YI Meng. Владелец: Chongqing HKC Optoelectronics Technology Co Ltd. Дата публикации: 2021-10-28.

Method and apparatus for ion etching and deposition

Номер патента: CA1331866C. Автор: John R. McNeil,Scott R. Wilson. Владелец: University of New Mexico UNM. Дата публикации: 1994-09-06.

Parallel concentration, desalting and deposition onto maldi targets

Номер патента: WO2003044526A9. Автор: Daniel Wall,Jeffrey Finch,Robert Karol. Владелец: Robert Karol. Дата публикации: 2004-06-03.

Parallel concentration, desalting and deposition onto maldi targets

Номер патента: EP1442294A1. Автор: Daniel Wall,Jeffrey Finch,Robert Karol. Владелец: Waters Investments Ltd. Дата публикации: 2004-08-04.

Dry etching of transparent electrodes in a low pressure plasma reactor

Номер патента: AU3509997A. Автор: John P. Holland,Alex T. Demos. Владелец: Lam Research Corp. Дата публикации: 1998-01-21.

Dry etch method for texturing silicon and device

Номер патента: US20160351734A1. Автор: Talia S. Gershon,Yun Seog Lee,Jeehwan Kim,Richard A. Haight. Владелец: International Business Machines Corp. Дата публикации: 2016-12-01.

Dry etching method of surface texture formation on silicon wafer

Номер патента: EP2635513A4. Автор: Young Kyu Cho. Владелец: Intevac Inc. Дата публикации: 2014-04-16.

Dry etching method, and dry etching agent and storage container therefor

Номер патента: SG11202107622UA. Автор: Shinya Ikeda,Hiroyuki Oomori,Tatsunori Kamida. Владелец: Central Glass Co Ltd. Дата публикации: 2021-08-30.

Dry etching method or dry cleaning method

Номер патента: US11814726B2. Автор: Yoshinao Takahashi,Korehito Kato,Katsuya Fukae. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2023-11-14.

Method and apparatus for dry etching and electrostatic chucking device used therein

Номер патента: DE3171924D1. Автор: Naomichi Abe. Владелец: Fujitsu Ltd. Дата публикации: 1985-09-26.

Gases for plasma reaction, method of dry etching, and film-forming method of fluorocarbon film

Номер патента: TW200839031A. Автор: Tatsuya Sugimoto,Masahiro Nakamura. Владелец: Zeon Corp. Дата публикации: 2008-10-01.

Dry etching method and dry etching apparatus by using high density plasma source

Номер патента: KR100419033B1. Автор: 김준태,석창길,손상현,강순석. Владелец: (주)울텍. Дата публикации: 2004-02-21.

Dry etching device and dry etching method

Номер патента: WO2014024216A1. Автор: 満 小荒井,甲二 埴原,敏夫 横内. Владелец: パイオニア・マイクロ・テクノロジー株式会社. Дата публикации: 2014-02-13.

Dry etch back substrate interconnections

Номер патента: US20220108918A1. Автор: Kuiwon Kang,Joan Rey Villarba Buot,Jialing Tong. Владелец: Qualcomm Inc. Дата публикации: 2022-04-07.

Dry-etching method and plasma

Номер патента: US4948461A. Автор: Dilip K. Chatterjee. Владелец: Eastman Kodak Co. Дата публикации: 1990-08-14.

The detective method for the dry-etching machine

Номер патента: TW200410302A. Автор: Szetsen Steven Lee. Владелец: Winbond Electronics Corp. Дата публикации: 2004-06-16.

Dry etching using electrostatic chucking device

Номер патента: IE812268L. Автор: . Владелец: Fujitsu Ltd. Дата публикации: 1982-03-30.

High dry etch rate materials for semiconductor patterning applications

Номер патента: SG10201706963VA. Автор: Ishtak Karim,Purushottam Kumar,Arpan Mahorowala. Владелец: Lam Res Corp. Дата публикации: 2018-03-28.

Deposition apparatus and deposition method using the same

Номер патента: US09724725B2. Автор: Jeong Won Han. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-08-08.

Dry etching method or dry cleaning method

Номер патента: JP6952766B2. Автор: 高橋 至直,至直 高橋,深江 功也,功也 深江,惟人 加藤. Владелец: Kanto Denka Kyogyo Co.,Ltd.. Дата публикации: 2021-10-20.

Dry etching method or dry cleaning method

Номер патента: US20210108311A1. Автор: Yoshinao Takahashi,Korehito Kato,Katsuya Fukae. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2021-04-15.

METHOD OF FORMING AN INTEGRATED INDUCTOR BY DRY ETCHING AND METAL FILLING

Номер патента: US20140377892A1. Автор: ZHANG Wei,Wang Pengfei,Sun Qingqing. Владелец: FUDAN UNIVERSITY. Дата публикации: 2014-12-25.

Deposition apparatus and deposition method using the same

Номер патента: US09713818B2. Автор: Jeongwon HAN. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-07-25.

Detoxification device, deposit removal means, and deposit removal method

Номер патента: EP4403828A1. Автор: Masahiro Tanaka,Katsunori Takahashi,Jinquan Guan. Владелец: Edwards Japan Ltd. Дата публикации: 2024-07-24.

Apparatus for depositing a substrate and deposition system having the same

Номер патента: US20210102285A1. Автор: Jeong-Heon Park,Junho Jeong,Whankyun Kim,Sukhoon KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-04-08.

Dry etching apparatus

Номер патента: KR950014076B1. Автор: 타다시 키무라,요시노브 나가노,카즈유끼 토미타,테쯔 이께다. Владелец: 마쯔시다 덴기산교 가부시기가이샤. Дата публикации: 1995-11-21.

an apparatus for dry etching

Номер патента: KR100688229B1. Автор: 신상윤. Владелец: 엘지.필립스 엘시디 주식회사. Дата публикации: 2007-02-28.

Dry etch rate reduction of silicon nitride films

Номер патента: US20190157077A1. Автор: Deenesh Padhi,Hang Yu,Tza-Jing Gung,Michael Wenyoung Tsiang. Владелец: Applied Materials Inc. Дата публикации: 2019-05-23.

Deposition apparatus and deposition method

Номер патента: US20240263298A1. Автор: Cheng-wei LIU,Liang-Cheng Ma. Владелец: Carux Technology Pte Ltd. Дата публикации: 2024-08-08.

Deposition apparatus and deposition method

Номер патента: EP4424866A2. Автор: Cheng-wei LIU,Liang-Cheng Ma. Владелец: Carux Technology Pte Ltd. Дата публикации: 2024-09-04.

DRY ETCHING APPARATUS

Номер патента: US20170032987A1. Автор: Lee Hyung-Joo,Kim Kwang-Nam,Baek Kye-hyun,Hong Jong-Seo,TOMOYASU Masayuki. Владелец: . Дата публикации: 2017-02-02.

DRY-ETCH DEVICE AND A LOWER ELECTRODE THEREOF

Номер патента: US20180047546A1. Автор: YU Kai,Li Wei,Zhang Guangming,QU Hongming. Владелец: . Дата публикации: 2018-02-15.

DRY ETCHING APPARATUS AND CLAMP THEREFOR

Номер патента: US20140224427A1. Автор: Takahashi Shuji. Владелец: FUJIFILM Corporation. Дата публикации: 2014-08-14.

LOCAL DRY ETCHING APPARATUS

Номер патента: US20200135429A1. Автор: OBARA Yasushi. Владелец: . Дата публикации: 2020-04-30.

DRY ETCH RATE REDUCTION OF SILICON NITRIDE FILMS

Номер патента: US20190157077A1. Автор: GUNG TZA-JING,Padhi Deenesh,TSIANG Michael Wenyoung,Yu Hang. Владелец: . Дата публикации: 2019-05-23.

Dry etching apparatus

Номер патента: US20170186588A1. Автор: Che-Cheng Chang,Chih-Han Lin,Jr-Jung LIN,Chang-Yin Chen,Tung-Wen CHENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-29.

Dry etching method

Номер патента: US20180233376A9. Автор: Yueping Zuo,Yinghai Ma,Liangjian Li. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-08-16.

LOCAL DRY ETCHING APPARATUS

Номер патента: US20170278674A1. Автор: OBARA Yasushi. Владелец: SPEEDFAM Co., Ltd.. Дата публикации: 2017-09-28.

Dry etching method for semiconductor device

Номер патента: JP2005197475A. Автор: Masahiro Takahashi,昌弘 ▲高▼橋. Владелец: Miyagi Oki Electric Co Ltd. Дата публикации: 2005-07-21.

Dry etching equipment of semiconductor device manufacturing equipment

Номер патента: KR100489638B1. Автор: 차훈,채승기,양윤식. Владелец: 삼성전자주식회사. Дата публикации: 2005-08-31.

Direct cooling type electrostatic chuck for dry etching equipment

Номер патента: KR102199738B1. Автор: 이정진,이나경,이석건,성현석,이인근. Владелец: (주)코리아스타텍. Дата публикации: 2021-01-08.

Wafer carrier elevator for sensing a wafer incongruent loading after dry etching process

Номер патента: KR100246850B1. Автор: 이경수,오재영,박진호,박동수. Владелец: 윤종용. Дата публикации: 2000-03-15.

Dry etching equipment

Номер патента: JPH0758708B2. Автор: 哲也 上田,航作 矢野. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1995-06-21.

Dry etch method of zinc oxide

Номер патента: KR20020014202A. Автор: 박성주,최원국,김경국,이지면. Владелец: 김효근. Дата публикации: 2002-02-25.

dry etching apparatus for liquid crystal display device

Номер патента: KR101147103B1. Автор: 유광종. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2012-05-17.

Dry Etching Apparatus

Номер патента: KR100626279B1. Автор: 정창성. Владелец: 엘지.필립스 엘시디 주식회사. Дата публикации: 2006-09-22.

Quartz Disc Structure of Dry Etching Equipment

Номер патента: KR200211254Y1. Автор: 김한수. Владелец: 현대반도체주식회사. Дата публикации: 2001-06-01.

Dry etching apparatus

Номер патента: KR20060013987A. Автор: 김광옥. Владелец: 삼성전자주식회사. Дата публикации: 2006-02-14.

Reaction cavity and dry etching machine

Номер патента: CN106158708B. Автор: 程志浩. Владелец: Kunshan Govisionox Optoelectronics Co Ltd. Дата публикации: 2020-02-18.

Tary and dry etching apparatus using the same

Номер патента: KR20100004194A. Автор: 최종용. Владелец: 주성엔지니어링(주). Дата публикации: 2010-01-13.

Dry etching equipment

Номер патента: JP3037597B2. Автор: 敬治 山田,顕弘 北畠. Владелец: Sanyo Vacuum Industries Co Ltd. Дата публикации: 2000-04-24.

Dry etching apparatus

Номер патента: CN108565231A. Автор: 王帆. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-09-21.

Upper electrode for electrode assembly of semiconductor dry etching equipment

Номер патента: KR19980067038U. Автор: 김상경. Владелец: 엘지반도체 주식회사. Дата публикации: 1998-12-05.

Apparatus for supply of gas in dry etching process of semiconductor

Номер патента: KR100338955B1. Автор: 정연우,성용규. Владелец: 박종섭. Дата публикации: 2002-05-31.

A kind of method that silicon carbide substrates are obtained using dry etching method

Номер патента: CN105632901B. Автор: 王锡铭. Владелец: Century Goldray Semiconductor Co Ltd. Дата публикации: 2018-05-25.

Etching amount measurement apparatus for dry etching apparatus

Номер патента: US09612205B2. Автор: Munenori Iwami,Ganachev IvanPetrov. Владелец: Shibaura Mechatronics Corp. Дата публикации: 2017-04-04.

Highly heat-resistant plasma etching electrode and dry etching device including the same

Номер патента: TW552638B. Автор: Akira Yamaguchi,Shuji Tomita. Владелец: Nisshin Spinning. Дата публикации: 2003-09-11.

Removal of 3D semiconductor structures by dry etching

Номер патента: US09741895B2. Автор: Daniel Bryce THOMPSON,Cynthia LEMAY. Владелец: GLO AB. Дата публикации: 2017-08-22.

Dry etching gas and dry etching method

Номер патента: WO2016163184A1. Автор: 昌生 藤原,章史 八尾,陽介 中村,啓之 大森. Владелец: セントラル硝子株式会社. Дата публикации: 2016-10-13.

Dry etching gas and dry etching method

Номер патента: TW201700443A. Автор: Akifumi YAO,Masaki Fujiwara,Yosuke Nakamura,Hiroyuki Oomori. Владелец: Central Glass Co Ltd. Дата публикации: 2017-01-01.

Dry etching apparatus, etching method, and method of forming a wiring

Номер патента: US20060048894A1. Автор: Shunpei Yamazaki,Hideomi Suzawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2006-03-09.

Removal of 3D semiconductor structures by dry etching

Номер патента: US9368672B2. Автор: Daniel Bryce THOMPSON,Cynthia LEMAY. Владелец: GLO AB. Дата публикации: 2016-06-14.

MONITORING DRY-ETCHING OF POLYMER LAYER FOR TRANSFERRING SEMICONDUCTOR DEVICES

Номер патента: US20200006108A1. Автор: Brodoceanu Daniel. Владелец: . Дата публикации: 2020-01-02.

Wavelength Determination Method for EDP in Semiconductor Dry Etching Process

Номер патента: KR100475459B1. Автор: 이재봉,이휘건. Владелец: 삼성전자주식회사. Дата публикации: 2005-05-27.

Deposition mask package and deposition mask packaging method

Номер патента: US20240316902A1. Автор: Chikao Ikenaga,Takeru Watanabe,Takumi OIKE,Tsukasa Mukaida. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2024-09-26.

Deposition mask package and deposition mask packaging method

Номер патента: US12109778B2. Автор: Chikao Ikenaga,Takeru Watanabe,Takumi OIKE,Tsukasa Mukaida. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2024-10-08.

DRY ETCHING APPARATUS

Номер патента: US20130233491A1. Автор: CHOI Jong Yong. Владелец: JUSUNG ENGINEERING CO., LTD.. Дата публикации: 2013-09-12.

REMOVAL OF 3D SEMICONDUCTOR STRUCTURES BY DRY ETCHING

Номер патента: US20160260864A1. Автор: THOMPSON Daniel Bryce,Lemay Cynthia. Владелец: . Дата публикации: 2016-09-08.

SYSTEMS AND METHODS FOR DRY ETCHING A PHOTODETECTOR ARRAY

Номер патента: US20140367822A1. Автор: Delaunay Pierre-Yves. Владелец: . Дата публикации: 2014-12-18.

REMOVAL OF 3D SEMICONDUCTOR STRUCTURES BY DRY ETCHING

Номер патента: US20140370631A1. Автор: THOMPSON Daniel Bryce,Lemay Cynthia. Владелец: . Дата публикации: 2014-12-18.

DRY ETCH METHOD FOR TEXTURING SILICON AND DEVICE

Номер патента: US20160351733A1. Автор: Kim Jeehwan,GERSHON TALIA S.,LEE YUN SEOG,Haight Richard A.. Владелец: . Дата публикации: 2016-12-01.

DRY ETCH METHOD FOR TEXTURING SILICON AND DEVICE

Номер патента: US20160351734A1. Автор: Kim Jeehwan,GERSHON TALIA S.,LEE YUN SEOG,Haight Richard A.. Владелец: . Дата публикации: 2016-12-01.

Anisotropic Dry Etching of ZnO for Optical and Electronic Devices Using BCl3 Based Plasmas

Номер патента: KR100484502B1. Автор: 김한기,성태연,김경국. Владелец: 광주과학기술원. Дата публикации: 2005-04-20.

Monitoring dry-etching of polymer layer for transferring semiconductor devices

Номер патента: US10998215B2. Автор: Daniel Brodoceanu. Владелец: Facebook Technologies LLC. Дата публикации: 2021-05-04.

Deposition device and deposition method

Номер патента: US20240207891A1. Автор: Atsushi Takeda,Takanobu Takenaka. Владелец: Japan Display Inc. Дата публикации: 2024-06-27.

Deposition device and deposition method

Номер патента: US20230219114A1. Автор: Atsushi Takeda,Takanobu Takenaka. Владелец: Japan Display Inc. Дата публикации: 2023-07-13.

Deposition device and deposition method

Номер патента: US11958073B2. Автор: Atsushi Takeda,Takanobu Takenaka. Владелец: Japan Display Inc. Дата публикации: 2024-04-16.

Digital file recognition and deposit system

Номер патента: US11743216B2. Автор: Anuj Shah,Ashwin Roongta,Sayan Banerjee. Владелец: Bank of America Corp. Дата публикации: 2023-08-29.

Digital file recognition and deposit system

Номер патента: US20220083503A1. Автор: Anuj Shah,Ashwin Roongta,Sayan Banerjee. Владелец: Bank of America Corp. Дата публикации: 2022-03-17.

Digital file recognition and deposit system

Номер патента: US20200183880A1. Автор: Anuj Shah,Ashwin Roongta,Sayan Banerjee. Владелец: Bank of America Corp. Дата публикации: 2020-06-11.

System for automatic management and depositing of documents (images) hash in block-chain technology

Номер патента: US11893055B2. Автор: Sava Zivanovic. Владелец: Individual. Дата публикации: 2024-02-06.

Improvements in or relating to methods of etching and apparatus therefor

Номер патента: GB1145522A. Автор: . Владелец: Chemcut Corp. Дата публикации: 1969-03-19.

Etching and thinning for the fabrication of lithographically patterned diamond nanostructures

Номер патента: US20210399708A1. Автор: Hailin Wang,Ignas Lekavicius. Владелец: University of Oregon. Дата публикации: 2021-12-23.

End point judging method of dry etching and dry etching equipment

Номер патента: JPH11176815A. Автор: Masato Kijima,正人 貴島. Владелец: Ricoh Co Ltd. Дата публикации: 1999-07-02.

Process optimization in gas phase dry etching

Номер патента: US5711849A. Автор: Daniel L. Flamm,John P. Verboncoeur. Владелец: Individual. Дата публикации: 1998-01-27.

DRY ETCHING DEVICE AND DRY ETCHING METHOD

Номер патента: US20160260586A1. Автор: CHAI Li. Владелец: . Дата публикации: 2016-09-08.

Dry etching equipment and dry etching method

Номер патента: JP3385528B2. Автор: 英樹 原野. Владелец: NEC Corp. Дата публикации: 2003-03-10.

Method and apparatus for dry etching

Номер патента: JPS57200571A. Автор: Sumio Yamamoto,Seiichi Yoda. Владелец: Fujitsu Ltd. Дата публикации: 1982-12-08.

Dry etching device provided with monitor

Номер патента: JPS56160042A. Автор: Nobuo Hayasaka,Junichi Nishizawa. Владелец: Semiconductor Research Foundation. Дата публикации: 1981-12-09.

A kind of dry etching machine and lithographic method thereof

Номер патента: CN103745904B. Автор: 刘思洋. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-08-17.

Deposition device and deposition method

Номер патента: US09758857B2. Автор: Hiroshi Tamagaki,Asuka NAGAMINE. Владелец: Kobe Steel Ltd. Дата публикации: 2017-09-12.

DRY ETCHING DEVICE AND ELECTRODE THEREOF

Номер патента: US20200006040A1. Автор: WEN Chun-Bin. Владелец: . Дата публикации: 2020-01-02.

APPARATUS AND METHODS FOR DRY ETCH WITH EDGE, SIDE AND BACK PROTECTION

Номер патента: US20190096634A1. Автор: Sabharwal Amitabh,Kumar Ajay,Singh Saravjeet,SCOTT Graeme Jamieson. Владелец: . Дата публикации: 2019-03-28.

LOCAL DRY ETCHING APPARATUS

Номер патента: US20160104601A1. Автор: OBARA Yasushi. Владелец: SPEEDFAM Co., Ltd.. Дата публикации: 2016-04-14.

LOWER ELECTRODE AND DRY ETCHING MACHINE

Номер патента: US20200144033A1. Автор: JI Liming. Владелец: . Дата публикации: 2020-05-07.

DRY ETCHING DEVICE AND ELECTRODE THEREOF

Номер патента: US20150179410A1. Автор: Yang Dong,GUO Xiaolong,Ji Yunlong,Hua Zitong. Владелец: . Дата публикации: 2015-06-25.

ANODE PREPARATION SYSTEM APPLYING DRY ETCHING TREATMENT TO THE ANODES

Номер патента: US20200243845A1. Автор: ARONOV Daniel,Sella Eran. Владелец: StoreDot Ltd.. Дата публикации: 2020-07-30.

METHOD FOR MANUFACTURING TOUCH SCREEN PANELS USING A DRY ETCHING APPARATUS

Номер патента: US20150340205A1. Автор: SONG Bong-Sub,KU Soung-Chang. Владелец: . Дата публикации: 2015-11-26.

Method for manufacturing a touch screen panel using the dry etching apparatus

Номер патента: KR101082134B1. Автор: 구성창,송봉섭. Владелец: 삼성모바일디스플레이주식회사. Дата публикации: 2011-11-09.

Dry etching device

Номер патента: JPS5817618A. Автор: Haruo Okano,晴雄 岡野,Yasuharu Horiike,堀池 靖治. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1983-02-01.

Method for dry etching

Номер патента: JPS577935A. Автор: Masanao Itoga,Naomichi Abe. Владелец: Fujitsu Ltd. Дата публикации: 1982-01-16.

Dry etching device

Номер патента: JPS6053026A. Автор: Shinpei Iijima,飯島 晋平. Владелец: HITACHI LTD. Дата публикации: 1985-03-26.

Dry etching device

Номер патента: JPS5810830A. Автор: Mitsuo Yoneuchi,米内 光雄. Владелец: Suwa Seikosha KK. Дата публикации: 1983-01-21.

Dry etching apparatus

Номер патента: JPS5732637A. Автор: Shigeji Kinoshita,Yaichiro Watakabe. Владелец: Mitsubishi Electric Corp. Дата публикации: 1982-02-22.

Method and apparatus for monitoring dry etching of a dielectric film to a given thickness

Номер патента: DE69510032T2. Автор: Philippe Coronel,Jean Canteloup,Bernard Auda. Владелец: SOFIE INSTR ARPAJON. Дата публикации: 2000-01-27.

Dry etching method

Номер патента: JPS5953684A. Автор: 守孝 中村,Moritaka Nakamura,Takashi Maruyama,隆司 丸山. Владелец: Fujitsu Ltd. Дата публикации: 1984-03-28.

Dry etching device

Номер патента: JPS5978534A. Автор: Tetsukazu Hashimoto,Hideo Sunami,英夫 角南,哲一 橋本,Hirohei Iijima,飯島 普平. Владелец: HITACHI LTD. Дата публикации: 1984-05-07.

Method For Detecting An End Point In A Dry Etching Process

Номер патента: KR100473857B1. Автор: 이순종,이동석,우봉주. Владелец: (주)쎄미시스코. Дата публикации: 2005-03-10.

Manufacturing method for surface bumps of electrostatic adsorption plate in dry etching device

Номер патента: CN103855068A. Автор: 金东熙,刘芳钰. Владелец: Global Material Science Co Ltd. Дата публикации: 2014-06-11.

Methods and materials for functional polyionic species and deposition thereof

Номер патента: US09393589B2. Автор: J. Wallace Parce,Benjamin Wang,Kevin Krogman,David Olmeijer. Владелец: Eastman Chemical Co. Дата публикации: 2016-07-19.

Method and arrangement for continuous etching and anodizing of aluminum

Номер патента: US3632486A. Автор: Helmut F Herrmann,Rolando M Dizon. Владелец: Metalloxyd GmbH. Дата публикации: 1972-01-04.

Method of etching and cleaning objects

Номер патента: US7419614B2. Автор: Jeong-Jin Kim,Il-Ryong Park,Hae-Joo Choi. Владелец: LG Display Co Ltd. Дата публикации: 2008-09-02.

Powder and deposition control in throttle valve

Номер патента: EP3066369A1. Автор: Youfan Gu,Matthew C. Grout. Владелец: MKS Instruments Inc. Дата публикации: 2016-09-14.

Powder and deposition control in throttle valve

Номер патента: WO2015069848A1. Автор: Youfan Gu,Matthew C. Grout. Владелец: MKS Instruments, Inc.. Дата публикации: 2015-05-14.

再生pecvd设备或dry etch设备腔体中的构件的方法

Номер патента: CN114535186. Автор: 刘超,刘晓刚,朱勇,李仁杰,蔡广云,黄来国. Владелец: Hefei Weirui Optoelectronic Technology Co ltd. Дата публикации: 2022-05-27.

A method for reducing agglomeration, sintering and deposit formation

Номер патента: WO2001005911A3. Автор: Ulrik Henriksen,Lasse Holst Soerensen,Jan Fjellerup. Владелец: Reatech. Дата публикации: 2001-08-09.

Fuel supply system for boats fuelled by alternative fuels and relative boats

Номер патента: EP2503128A1. Автор: Chris Albert Lea Van Oevelen. Владелец: CVO TECHNOLOGIES Srl. Дата публикации: 2012-09-26.

Selective uv-ozone dry etching of anti-stiction coatings for mems device fabrication

Номер патента: WO2010147796A3. Автор: Mehmet Hancer. Владелец: ANALOG DEVICES, INC.. Дата публикации: 2011-04-14.

Dry etching composition, kit, pattern formation method, and method of manufacturing optical filter

Номер патента: US20190154891A1. Автор: Keisuke Arimura. Владелец: Fujifilm Corp. Дата публикации: 2019-05-23.

Process of and system for facilitating cash collections deposits and deposit tracking

Номер патента: US09495705B2. Автор: Aaron Baker,Frederick Purches,Paul BLACHOWICZ. Владелец: Brinks Network Inc. Дата публикации: 2016-11-15.

Gripper for gripping, moving and depositing pallets

Номер патента: RU2683216C2. Автор: Даниель ШЕЕР. Владелец: Лор Электромеканик. Дата публикации: 2019-03-26.

Dyeing method and apparatus for dyeing resin body by vaporization and deposition

Номер патента: US09988708B2. Автор: Yasuo Ota,Minoru Inuzuka,Atsushi Yano,Motoshi Tanaka. Владелец: Nidek Co Ltd. Дата публикации: 2018-06-05.

Systems, methods, and apparatuses for loading, securing, transporting, and depositing objects

Номер патента: CA3215321A1. Автор: Julio Gil,Julian Leland Bell. Владелец: Individual. Дата публикации: 2022-11-10.

A device for picking up and depositing loads, for example loaded pallets.

Номер патента: NL2034440B1. Автор: Servaas Matti Robert. Владелец: Rogama B V. Дата публикации: 2024-10-02.

A device for picking up and depositing loads, for example loaded pallets

Номер патента: WO2024205401A1. Автор: Robert Servaas Matti. Владелец: ROGAMA B.V.. Дата публикации: 2024-10-03.

Gripper for gripping, moving and depositing a pallet

Номер патента: US09850104B2. Автор: Daniel Scheer. Владелец: Lohr Electromecanique SAS. Дата публикации: 2017-12-26.

Trailer-type vehicle for lifting, transporting, and depositing loads

Номер патента: US4049143A. Автор: Jussi Sarvela,Vesa Hatakka. Владелец: Innovation Services Co Oy. Дата публикации: 1977-09-20.

A device for selectively picking and depositing articles to an automatic warehouse

Номер патента: EP3442888A1. Автор: Franco Stefani. Владелец: Modula SpA. Дата публикации: 2019-02-20.

A device for selectively picking and depositing articles to an automatic warehouse

Номер патента: WO2017178940A1. Автор: Franco Stefani. Владелец: Modula S.P.A. Con Socio Unico. Дата публикации: 2017-10-19.

A device for selectively picking and depositing articles to an automatic warehouse

Номер патента: EP3442889A1. Автор: Franco Stefani. Владелец: Modula SpA. Дата публикации: 2019-02-20.

A device for selectively picking and depositing articles to an automatic warehouse

Номер патента: WO2017178939A1. Автор: Franco Stefani. Владелец: Modula S.P.A. Con Socio Unico. Дата публикации: 2017-10-19.

Method for inhibiting the formation and deposition of silica scale in aqueous systems

Номер патента: SG191311A1. Автор: Jasbir S Gill,Cheryl Williams,Martin R Godfrey,Nathaniel T Greene. Владелец: Nalco Co. Дата публикации: 2013-07-31.

Robotic payload for extracting and depositing lightweight materials

Номер патента: US20240173850A1. Автор: Avideh Zakhor,Emily Lathrop. Владелец: Signetron Inc. Дата публикации: 2024-05-30.

Method of purifying and depositing group iiia and group va compounds to produce epitaxial films

Номер патента: CA1318261C. Автор: Thomas M. Potts. Владелец: Eagle Picher Industries Inc. Дата публикации: 1993-05-25.

Ori-inhibited and deposit-resistant motor fuel composition

Номер патента: CA2003322A1. Автор: Rodney Lu-Dai Sung. Владелец: Texaco Development Corp. Дата публикации: 1990-07-27.

Stirring-free scalable electrosynthesis enabled by alternating current

Номер патента: US20240167176A1. Автор: Sergey N. SEMENOV,Evgenil BORTNIKOV. Владелец: Yeda Research and Development Co Ltd. Дата публикации: 2024-05-23.

Forming, filling and sealing bags and depositing them in cartons

Номер патента: CA1296988C. Автор: Lloyd Kovacs,Matthew R. Lind. Владелец: Hayssen Manufacturing Co. Дата публикации: 1992-03-10.

Method for inhibiting the formation and deposition of silica scale in aqueous systems

Номер патента: NZ612313A. Автор: Jasbir S Gill,Cheryl Williams,Martin R Godfrey,Nathaniel T Greene. Владелец: Nalco Co. Дата публикации: 2015-05-29.

Apparatus for assembling and depositing signatures

Номер патента: CA1290509C. Автор: Kurt L. Lindblom,Seppo J. Kanervo,Jussi J. Simila. Владелец: Miller Johannisberg Druckmaschinen GmbH. Дата публикации: 1991-10-15.

Lifting and depositing device for portable containers, compartments, containers, shelters or the like

Номер патента: US4619439A. Автор: Reinhold Riedl,Conrad Lazarus. Владелец: HAACON HEBETECHNIK GMBH. Дата публикации: 1986-10-28.

Method for continuously extruding and depositing an unbroken layer of mortar on a work surface or substrate

Номер патента: US20190024395A9. Автор: Douglas S. Campbell. Владелец: Individual. Дата публикации: 2019-01-24.

A device for selectively picking and depositing articles to an automatic warehouse

Номер патента: US20190071251A1. Автор: Franco Stefani. Владелец: Modula SpA. Дата публикации: 2019-03-07.

Method for inhibiting scale formation and deposition in membrane systems via the use of an aa - amps copolymer

Номер патента: NZ591459A. Автор: Deepak A Musale. Владелец: Nalco Co. Дата публикации: 2012-05-25.

Method for inhibiting scale formation and deposition in membrane systems via the use of an aa - amps copolymer

Номер патента: EP2331471A1. Автор: Deepak A. Musale. Владелец: Nalco Co LLC. Дата публикации: 2011-06-15.

Toy movable by alternately relocating individual members of a pair of body parts

Номер патента: CA1193100A. Автор: Gorden W. Spring. Владелец: Tomy Corp. Дата публикации: 1985-09-10.

Method of removing organo-lead compounds from aqueous media by alternating current electrolysis

Номер патента: US3799851A. Автор: J Milam. Владелец: PPG Industries Inc. Дата публикации: 1974-03-26.

Processing device and processing method for etching and phosphating of metal parts

Номер патента: RU2691443C2. Автор: Йоахим ШЕНБЕРГ. Владелец: Рио Фервальтунгс Аг. Дата публикации: 2019-06-13.

Laser-etching and machine-vision positioning system

Номер патента: AU2020204074A1. Автор: Tyler Emerson Berkey,John Eric Miller,James Alan Aske. Владелец: Boeing Co. Дата публикации: 2021-03-25.

Preparation tray for improved etching and bonding of a tooth surface prior to the placement of a tooth attachment or a bracket

Номер патента: US11957535B1. Автор: Carl J. Metz. Владелец: Individual. Дата публикации: 2024-04-16.

Glass etching and breaking apparatus and methods of using the same

Номер патента: US20220250963A1. Автор: Ray Nicholas,Ryan Nicholas,Jordan Anderson. Владелец: Creator's Stained Glass Inc. Дата публикации: 2022-08-11.

Laser-etching and machine-vision positioning system

Номер патента: US20210069825A1. Автор: Tyler Emerson Berkey,John Eric Miller,James Alan Aske. Владелец: Boeing Co. Дата публикации: 2021-03-11.

Machine for etching and rinsing printing plates

Номер патента: US3604438A. Автор: Kurt Fries. Владелец: Individual. Дата публикации: 1971-09-14.

Electroless Nickel Etch Chemistry, Method Of Etching And Pretreatment

Номер патента: US20220127729A1. Автор: Douglas P. Riemer,Matthew J. Horner,Gowtham V. Vangara. Владелец: Hutchinson Technology Inc. Дата публикации: 2022-04-28.

Electroless nickel etch chemistry, method of etching and pretreatment

Номер патента: US11932948B2. Автор: Douglas P. Riemer,Matthew J. Horner,Gowtham V. Vangara. Владелец: Hutchinson Technology Inc. Дата публикации: 2024-03-19.

Method of Correcting Etch and Lithographic Processes

Номер патента: US20090300572A1. Автор: Joerg Thiele,Christof Bodendorf,Martin Keck,Robert Wildfeuer. Владелец: Qimonda AG. Дата публикации: 2009-12-03.

Dry etching equipment and dry etching cathode and O ring and vacuum system

Номер патента: TW200525581A. Автор: Ming-Hung Tseng,Chin-Chih Chen,Tzu-Chan Wang,Wei-Shin Tien. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-08-01.

Dry etching equipment and dry etching cathode and o-ring and vacuum system

Номер патента: TWI240299B. Автор: Tzu-Chan Weng,Ming-Hung Tseng,Chin-Chih Chen,Wei-Shin Tien. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-09-21.

Donor plate, deposition device and deposition method

Номер патента: US20240314935A1. Автор: Rob Jacob Hendriks. Владелец: Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO. Дата публикации: 2024-09-19.

Dry etching method, fine structure formation method, mold and mold fabrication method

Номер патента: US20090017259A1. Автор: Tomoyasu Murakami,Masaru Sasago,Hideo Nakagawa. Владелец: Individual. Дата публикации: 2009-01-15.

Micromachined structures made by combined wet and dry etching

Номер патента: US20030059622A1. Автор: Dan Steinberg,David Sherrer,Jasean Rasnake. Владелец: Steinberg Dan A.. Дата публикации: 2003-03-27.

Dry etching method, fine structure formation method, mold and mold fabrication method

Номер патента: US7919005B2. Автор: Tomoyasu Murakami,Masaru Sasago,Hideo Nakagawa. Владелец: Panasonic Corp. Дата публикации: 2011-04-05.

Dry etching apparatus

Номер патента: TWI405261B. Автор: Jong Yong Choi. Владелец: Jusung Eng Co Ltd. Дата публикации: 2013-08-11.

Dry etching and mirror deposition processes for silicone elastomer

Номер патента: US20020148813A1. Автор: Axel Scherer,Mark Adams. Владелец: California Institute of Technology CalTech. Дата публикации: 2002-10-17.

Dry etching and mirror deposition processes for silicone elastomer

Номер патента: WO2002066700A1. Автор: Axel Scherer,Mark Adams. Владелец: California Institute of Technology. Дата публикации: 2002-08-29.

PHOTOSENSITIVE RESIN COMPOSITION FOR DRY ETCHING, AND METHOD FOR PRODUCING RESIST PATTERN FOR DRY ETCHING

Номер патента: US20160291475A1. Автор: UEMATSU Teruhiro,OSHIO Kiminori. Владелец: . Дата публикации: 2016-10-06.

Dry Etching Agent and Dry Etching Method

Номер патента: US20130105728A1. Автор: Mori Isamu,Umezaki Tomonori,Hibino Yasuo,OKAMOTO Satoru,KIKUCHI Akiou. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2013-05-02.

Dry etching apparatus and etching method using light and microwave

Номер патента: KR950019926A. Автор: 김동석,김경진,이병석. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-07-24.

Mask material for reactive ion etching, mask and dry etching method

Номер патента: JP4170165B2. Автор: 秀一 大川,一博 服部. Владелец: TDK Corp. Дата публикации: 2008-10-22.

Thin film deposition source, deposition apparatus and deposition method using the same

Номер патента: US9309588B2. Автор: Jong Woo Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-04-12.

Deposition source and deposition apparatus including the same

Номер патента: US20240141489A1. Автор: Jonghyun Choi,Jaewan Seol,Intaek YOON. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-05-02.

Method and apparatus for stacking of timber and depositing laths and a method for using a lath

Номер патента: EP2780269A1. Автор: Jan Johansson,Gunnar Marklund,Peter Jonsson. Владелец: Renholmen AB. Дата публикации: 2014-09-24.

Dry etching method of conductive high polymerized membrane using microwave source

Номер патента: KR100865485B1. Автор: 박영환,강정원. Владелец: 단국대학교 산학협력단. Дата публикации: 2008-10-27.

Deposition device having contact structure and deposition system having same

Номер патента: US20230340659A1. Автор: Sang Bin Lee,Min Ho Kim. Владелец: Individual. Дата публикации: 2023-10-26.

Deposition apparatus and deposition method

Номер патента: US20240263295A1. Автор: Woo Yong Sung,Hye Min Lee,Jae Sik KIM,Hyoung Sub LEE,Seung Ho Yoon. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-08-08.

DRY ETCHING METHOD

Номер патента: US20140008322A1. Автор: Sakai Toshiyasu,Abo Hiroyuki,Abe Kazuya. Владелец: . Дата публикации: 2014-01-09.

DRY ETCHING METHOD FOR FILM LAYER STRUCTURE AND FILM LAYER STRUCTURE

Номер патента: US20210010140A1. Автор: HU Chong,WEI Xianwang. Владелец: . Дата публикации: 2021-01-14.

FINE CONCAVO-CONVEX STRUCTURE PRODUCT, HEAT-REACTIVE RESIST MATERIAL FOR DRY ETCHING, MOLD MANUFACTURING METHOD AND MOLD

Номер патента: US20150017275A1. Автор: MITAMURA Yoshimichi. Владелец: . Дата публикации: 2015-01-15.

Dry etching composition, kit, pattern formation method, and method of manufacturing optical filter

Номер патента: US20190154891A1. Автор: Keisuke Arimura. Владелец: Fujifilm Corp. Дата публикации: 2019-05-23.

Method for producing dry etching gas

Номер патента: US20150299088A1. Автор: Shingo Nakamura,Kanako FUKUMOTO,Masato NAITOU,Yuusuke ETOU,Tatsuya Ohtsuka. Владелец: Daikin Industries Ltd. Дата публикации: 2015-10-22.

METHOD FOR PRODUCING INTERNAL MEMBER OF DRY ETCHING CHAMBER

Номер патента: US20160298223A1. Автор: SAKODA Nobuaki,ZENG Zhensu,SAKO Sayaka. Владелец: . Дата публикации: 2016-10-13.

Material of mask for dry etching

Номер патента: JPS6033555A. Автор: Takayuki Kato,Takashi Hatano,Mayumi Okasato,孝行 加藤,秦野 高志,岡里 麻由美. Владелец: KONICA MINOLTA INC. Дата публикации: 1985-02-20.

Method and apparatus for detecting ending point of dry etching

Номер патента: JPH01111335A. Автор: 栄樹 野呂,良信 向,Yoshinobu Mukai,Eiki Noro. Владелец: Honda Motor Co Ltd. Дата публикации: 1989-04-28.

Dry Etching Method for Magnetic Tunnel Junction(MTJ) stack

Номер патента: KR101222190B1. Автор: 김은호,정지원. Владелец: 인하대학교 산학협력단. Дата публикации: 2013-01-14.

Dry etching of plastic

Номер патента: JPS6153335A. Автор: Takayoshi Kudo,工藤 隆義. Владелец: Tohoku Ricoh Co Ltd. Дата публикации: 1986-03-17.

Dry etching method for metal having high fusion point

Номер патента: JPS6148924A. Автор: Sadao Adachi,Nobuhiko Susa,定雄 安達,須佐 信彦. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1986-03-10.

Dry etching gas

Номер патента: WO2001027987A1. Автор: Hirokazu Aoyama. Владелец: DAIKIN INDUSTRIES, LTD.. Дата публикации: 2001-04-19.

Effective dry etching process of actinide oxides and their mixed oxides in CF4/O2/N2 plasma

Номер патента: US6699398B1. Автор: Yong-Soo Kim. Владелец: Hanyang Hak Won Co Ltd. Дата публикации: 2004-03-02.

Method for dry etching of Al2O3 film

Номер патента: JP4861947B2. Автор: 幸太郎 藤本,健太郎 山田,剛 島田. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-01-25.

Manufacturing method of chamber member for dry etching

Номер патента: JP5623619B1. Автор: 宜明 峪田,珍素 曽,さや香 佐古. Владелец: KURASHIKI BORING KIKO CO., LTD.. Дата публикации: 2014-11-12.

Dry etching method

Номер патента: JP3202466B2. Автор: 秀行 庄司. Владелец: NEC Corp. Дата публикации: 2001-08-27.

Silicon surface preparation for dry etching texturing process.

Номер патента: IE20200018A2. Автор: Clochard Laurent,Sai Narayana Barimar Prabhava. Владелец: Ultra High Vacuum Solutions Ltd. Дата публикации: 2021-12-22.

Silicon surface preparation for dry etching texturing process.

Номер патента: IE87467B1. Автор: Clochard Laurent,Sai Narayana Barimar Prabhava. Владелец: Ultra High Vacuum Solutions Ltd. Дата публикации: 2024-01-03.

Composition for protecting metals against corrosion and deposits

Номер патента: RU2254399C1. Автор: Н.Б. Гаврилов. Владелец: Гаврилов Наум Беньяминович. Дата публикации: 2005-06-20.

Improvements in Rolling Mill Plant for Collecting and Depositing the Crop Ends of Girders and the like.

Номер патента: GB190719115A. Автор: Thomas Lewis. Владелец: Individual. Дата публикации: 1908-07-09.

Improvements in and relating to Aerial Suspension Cableways for Lifting, Moving and Depositing Materials.

Номер патента: GB190607117A. Автор: John Macdonald Henderson. Владелец: Individual. Дата публикации: 1907-03-23.

Orientation and deposition of fibers in the manufacture of fiberboard

Номер патента: CA1145108A. Автор: Thomas E. Peters,Joseph S. Bleymaier. Владелец: Individual. Дата публикации: 1983-04-26.

Improvements in Apparatus for Producing and Depositing Fumes from Ores.

Номер патента: GB190113115A. Автор: William Walker Fyfe. Владелец: Individual. Дата публикации: 1902-09-27.

A New or Improved Method of Lifting, Moving and Depositing Materials, and Appliances therefor.

Номер патента: GB190425538A. Автор: John Macdonald Henderson. Владелец: Individual. Дата публикации: 1905-08-31.

Franking machine with sealer, scale and depositer

Номер патента: CA108951S. Автор: . Владелец: Francotyp Postalia GmbH. Дата публикации: 2006-08-14.

DRY ETCHING METHOD AND DRY ETCHING APPARATUS

Номер патента: US20120094500A1. Автор: SUZUKI Hiroyuki,OKUNE Mitsuhiro. Владелец: . Дата публикации: 2012-04-19.

Dry Etching Agent and Dry Etching Method Using the Same

Номер патента: US20120298911A1. Автор: . Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2012-11-29.

Dry etching apparatus and dry etching method using it

Номер патента: JPH1041277A. Автор: 英規 乾,Hidenori Inui. Владелец: Miyazaki Oki Electric Co Ltd. Дата публикации: 1998-02-13.

Sample dry etching method and dry etching apparatus

Номер патента: JP4068986B2. Автор: 大本  豊,良次 福山,守 薬師寺. Владелец: Hitachi High Technologies Corp. Дата публикации: 2008-03-26.

Dry etching method and dry etching apparatus

Номер патента: JP3339136B2. Автор: 哲也 辰巳. Владелец: Sony Corp. Дата публикации: 2002-10-28.

Dry etching method and dry etching apparatus

Номер патента: JP4595431B2. Автор: 典仁 福上. Владелец: Toppan Inc. Дата публикации: 2010-12-08.

Dry etching method and dry etching apparatus

Номер патента: JP2794963B2. Автор: 徳彦 玉置,正文 久保田,登 野村. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1998-09-10.

Dry etching apparatus and dry etching method

Номер патента: JP4713851B2. Автор: 俊雄 林,泰宏 森川,紅コウ 鄒. Владелец: Ulvac Inc. Дата публикации: 2011-06-29.

Dry etching device top cover and dry etching device

Номер патента: CN107633994B. Автор: 崔珠峰. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2020-02-07.

Method for determining dry etching time and dry etching method

Номер патента: JP5163894B2. Автор: 慎一 五十嵐. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2013-03-13.

Method for patterning sapphire by combining wet etching and dry etching

Номер патента: CN102184842B. Автор: 顾小云,张建宝. Владелец: HC Semitek Corp. Дата публикации: 2012-11-07.

Method for patterning sapphire by combining wet etching and dry etching

Номер патента: CN102184842A. Автор: 顾小云,张建宝. Владелец: HC Semitek Corp. Дата публикации: 2011-09-14.

GATE TRIM PROCESS USING EITHER WET ETCH OR DRY ETCH APPROACH TO TARGET CD FOR SELECTED TRANSISTORS

Номер патента: US20120032308A1. Автор: Hui Angela T.,Choi Jihwan,Davis Bradley M.. Владелец: SPANSION LLC. Дата публикации: 2012-02-09.

Etching mask and dry etching method

Номер патента: JP4654811B2. Автор: 浩 杉村. Владелец: Toppan Inc. Дата публикации: 2011-03-23.

Monitoring method of etching in dry etching

Номер патента: JP3261660B2. Автор: 昭彦 悳. Владелец: Ulvac Coating Corp. Дата публикации: 2002-03-04.

Method of dry etching of interlayer insulation film and etching device

Номер патента: TW200735206A. Автор: Toshio Hayashi. Владелец: Philtech Inc. Дата публикации: 2007-09-16.

Process of etching a silicon nitride layer by a multi-step dry etching procedure

Номер патента: TW343361B. Автор: Shiang-Yuan Jeng,Shiuh-Lii Jeng,Tzyy-Shy Yan. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 1998-10-21.

Cleaning solution and method for removal of post dry etching residue

Номер патента: TWI222994B. Автор: Kwo-Hung Shen,Wen-Shoei Sheen,Jack Ting,Sheng-Hung Tu. Владелец: Merck Kanto Advanced Chemical. Дата публикации: 2004-11-01.

Dry etching method

Номер патента: JPS54123875A. Автор: Hiroshi Takeuchi. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1979-09-26.

Method of dry etching

Номер патента: JPS54126471A. Автор: Hiroshi Takeuchi. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1979-10-01.

Dry etching method of gallium nitride based compound semiconductor

Номер патента: TW200303051A. Автор: Shinji Nakagami,Hiramoto Michihiko,Furuto Nobusuke,Hiroyuki Ogiya. Владелец: Shamk Internat Inst Co Ltd. Дата публикации: 2003-08-16.

Dry etching method of gallium nitride based compound semiconductor

Номер патента: TWI267136B. Автор: Shinji Nakagami,Hiromichi Ogiya,Michihiro Hiramoto,Shinsuke Furuto. Владелец: Samco Internat Inc. Дата публикации: 2006-11-21.

Method for discharging wafer after dry etching metal layer

Номер патента: TW200509245A. Автор: Ming-Shuo Yen,YJ Yang,Ym Wang,Yp Pan. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2005-03-01.

Solution for removal of post dry etching residue

Номер патента: TWI247038B. Автор: Kwo-Hung Shen,Wen-Shoei Sheen,Jack Ting,Sheng-Hung Tu. Владелец: Merck Kanto Advanced Chemical. Дата публикации: 2006-01-11.

Exhausting means in a dry etching apparatus

Номер патента: TWI247823B. Автор: Rung-Fu Ju. Владелец: Nanya Technology Corp. Дата публикации: 2006-01-21.

Method for discharging wafer after dry etching metal layer

Номер патента: TWI223351B. Автор: Yi-Ming Wang,Ming-Shuo Yen,Yi-Ping Pan,Yau-Rung Yang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2004-11-01.

Plasma reaction chamber and process of dry etching

Номер патента: TW200428514A. Автор: Chun-Wei Chen,Yi-Hsiung Lin,Hong-Long Chang,Shih-Kun Kuo. Владелец: Promos Technologies Inc. Дата публикации: 2004-12-16.

Solution for removal of post dry etching residue

Номер патента: TW200512287A. Автор: Kwo-Hung Shen,Wen-Shoei Sheen,Jack Ting,Sheng-Hung Tu. Владелец: Merck Kanto Advanced Chemical. Дата публикации: 2005-04-01.

Dry etching and apparatus therefor

Номер патента: JPH104085A. Автор: Hiroshi Yamada,博 山田. Владелец: Sony Corp. Дата публикации: 1998-01-06.

Gas for dry etching and processing method of semiconductor device

Номер патента: JP4722243B2. Автор: 勲 雨宮. Владелец: Hoya Corp. Дата публикации: 2011-07-13.

Method for dry-etching and semiconductor device

Номер патента: JPH11340194A. Автор: Junji Shigeta,淳二 重田,Hiroyuki Uchiyama,和典 篠田,博幸 内山,Kazunori Shinoda. Владелец: HITACHI LTD. Дата публикации: 1999-12-10.

Improvements in Methods of Exciting Electro-magnets by Alternating Electric Currents

Номер патента: GB190422631A. Автор: Marius Latour. Владелец: Individual. Дата публикации: 1905-10-19.

DRY-ETCH FOR SILICON-AND-CARBON-CONTAINING FILMS

Номер патента: US20130034968A1. Автор: Wang Yunyu,Wang Anchuan,Zhang Jingchun,Ingle Nitin K.,Lee Young. Владелец: Applied Materials, Inc.. Дата публикации: 2013-02-07.

DRY-ETCH FOR SILICON-AND-NITROGEN-CONTAINING FILMS

Номер патента: US20130045605A1. Автор: Wang Yunyu,Wang Anchuan,Zhang Jingchun,Ingle Nitin K.,Lee Young S.. Владелец: Applied Materials, Inc.. Дата публикации: 2013-02-21.

Dry etching method

Номер патента: JPS5789477A. Автор: Tsunetoshi Arikado. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1982-06-03.

Dry etching method

Номер патента: JPS6224627A. Автор: Junichi Sato,淳一 佐藤. Владелец: Sony Corp. Дата публикации: 1987-02-02.

The method of dry etching microelectromechanical-systems sacrifice layer

Номер патента: CN104261345B. Автор: 雷述宇,史晔. Владелец: NORTH GUANGWEI TECHNOLOGY Inc. Дата публикации: 2016-06-29.

Dry etching method

Номер патента: JPH11162941A. Автор: Takeshi Tokashiki,健 渡嘉敷. Владелец: NEC Corp. Дата публикации: 1999-06-18.

Dry etching system

Номер патента: JPS63164431A. Автор: Yasuo Tanaka,靖夫 田中,和之 富田,Kazuyuki Tomita,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1988-07-07.

Dry etching method and plasma ashing method

Номер патента: JP4425370B2. Автор: 悟 岡本. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2010-03-03.

DRY ETCHING METHOD

Номер патента: US20120129278A1. Автор: Watanabe Kazuhiro,Yoshii Manabu. Владелец: ULVAC, INC.. Дата публикации: 2012-05-24.

DRY ETCHING METHOD OF SURFACE TEXTURE FORMATION ON SILICON WAFER

Номер патента: US20120138139A1. Автор: . Владелец: INTEVAC, INC.. Дата публикации: 2012-06-07.

APPARATUS AND METHODS FOR DRY ETCH WITH EDGE, SIDE AND BACK PROTECTION

Номер патента: US20120305185A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-12-06.

DRY ETCHING METHOD

Номер патента: US20130015158A1. Автор: Saito Go,KUWABARA Kenichi,ICHIMARU Tomoyoshi. Владелец: . Дата публикации: 2013-01-17.

DRY-ETCHING METHOD

Номер патента: US20130025789A1. Автор: IIJIMA Etsuo,Yamada Norikazu. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-01-31.

METHODS OF FORMING FINE PATTERNS USING DRY ETCH-BACK PROCESSES

Номер патента: US20130034965A1. Автор: Kim Young-Ho,KIM Hyoung-Hee,Kang Yool,Yi Song-Se,Kim Jae-Ho. Владелец: . Дата публикации: 2013-02-07.

DRY ETCHING METHOD FOR METAL FILM

Номер патента: US20130098868A1. Автор: Nishimura Eiichi,SONE Takashi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-04-25.

Dry Etch Processes

Номер патента: US20130115778A1. Автор: Liu Jie,Xue Jun,Chen Yongmei,Michaelson Timothy,Deaton Paul,Weidman Timothy W.,Ngai Christopher S.. Владелец: Applied Materials, Inc.. Дата публикации: 2013-05-09.

DRY ETCH POLYSILICON REMOVAL FOR REPLACEMENT GATES

Номер патента: US20130217221A1. Автор: PRINDLE Chris M.,Hempel Klaus,Wei Andy C.. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-08-22.

DRY ETCHING APPARATUS AND METHOD

Номер патента: US20130228550A1. Автор: Izawa Masaru,MORI Masahito,Yagi Katsushi. Владелец: HITACHI HIGH-TECHNOLOGIES CORPORATION. Дата публикации: 2013-09-05.

PATTERNING OF HARD-TO-DRY-ETCH SUBSTRATES

Номер патента: US20140037920A1. Автор: Kobrin Boris. Владелец: Rolith, Inc.. Дата публикации: 2014-02-06.

Dry etching residue remover for semiconductor manufacturing process

Номер патента: JP4104109B2. Автор: 建 大串,重政 須賀,茂 加門,紀男 金子,博正 山本,勝大 平塚. Владелец: Kisco Co Ltd. Дата публикации: 2008-06-18.

Dry etching method

Номер патента: JPS5430781A. Автор: Shinya Iida,Hisayuki Higuchi,Tatsumi Mizutani,Seitaro Matsuo,Hideo Komatsu,Makoto Asakawa. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1979-03-07.

Dry etching method

Номер патента: JP2824584B2. Автор: 誠太郎 松尾,蕃 中島,美佐雄 関本,千春 高橋. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1998-11-11.

Dry etching

Номер патента: JPS6459819A. Автор: Hiroyuki Hara. Владелец: Tokuda Seisakusho Co Ltd. Дата публикации: 1989-03-07.

Dry etching method

Номер патента: JP4546667B2. Автор: 克典 平井,昭貴 清水. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-09-15.

Method for evaluating dry etching process

Номер патента: JPS637386A. Автор: Mitsuru Okikawa,満 沖川. Владелец: Sanyo Electric Co Ltd. Дата публикации: 1988-01-13.

Dry etching method

Номер патента: JP2804037B2. Автор: 伸夫 早坂,晴雄 岡野,弘剛 西野,啓治 堀岡. Владелец: Toshiba Corp. Дата публикации: 1998-09-24.

Dry etching method

Номер патента: JPS6474727A. Автор: Hiroyuki Miyashita. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 1989-03-20.

Plasma apparatus and dry etching method using the same

Номер патента: JP3263852B2. Автор: 哲治 長山. Владелец: Sony Corp. Дата публикации: 2002-03-11.

Detector for end point of dry etching

Номер патента: JPS6381929A. Автор: Isao Asaishi,浅石 勲. Владелец: Anelva Corp. Дата публикации: 1988-04-12.

Dry etching method

Номер патента: JPS58113375A. Автор: Toshio Oshima,利雄 大島. Владелец: Fujitsu Ltd. Дата публикации: 1983-07-06.

Dry etching method and semiconductor device manufacturing method

Номер патента: JP3816494B2. Автор: 武志 山下,秀樹 堂下,光一 川嶋. Владелец: Panasonic Corp. Дата публикации: 2006-08-30.

Dry etching apparatus

Номер патента: JPS6285431A. Автор: Toru Otsubo,徹 大坪,Kazuhiro Ohara,大原 和博. Владелец: HITACHI LTD. Дата публикации: 1987-04-18.

Dry etching device

Номер патента: JPH01160018A. Автор: Masanori Yamanaka,正徳 山中. Владелец: Ricoh Co Ltd. Дата публикации: 1989-06-22.

Dry etching method

Номер патента: JP3291889B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2002-06-17.

Metal gate dry etching method

Номер патента: JP4994161B2. Автор: 譲 山本,昭人 河内,敏明 西田. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-08-08.

Dry-etching device

Номер патента: JPS6251223A. Автор: Yoshikazu Minegishi,Naohisa Asaka,峰岸 美一,浅香 尚久. Владелец: Hitachi Electronics Engineering Co Ltd. Дата публикации: 1987-03-05.

Dry etching equipment

Номер патента: JP3236928B2. Автор: 房男 下川,正博 伊藤,岱二郎 内田. Владелец: Ulvac Inc. Дата публикации: 2001-12-10.

Dry etching device

Номер патента: JPS5590228A. Автор: Seitaro Matsuo,Makoto Asakawa,Munenori Kanai. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1980-07-08.

Dry etching method

Номер патента: JPS5623276A. Автор: Kazuo Tokitomo,Hitoshi Hoshino,Chuichi Takada. Владелец: Fujitsu Ltd. Дата публикации: 1981-03-05.

Dry etching method and semiconductor device manufacturing method

Номер патента: JP3295172B2. Автор: 加津雄 高野. Владелец: Tokyo Electron Ltd. Дата публикации: 2002-06-24.

Dry etching device

Номер патента: JPH01133322A. Автор: Yoshio Nakamura,好男 中村,Hiroyuki Ichikawa,Yukifumi Imaizumi,幸文 今泉,浩行 市川. Владелец: Toshiba Ceramics Co Ltd. Дата публикации: 1989-05-25.

Dry etching apparatus

Номер патента: JPS63138737A. Автор: Hideyuki Hirose,Hidehiko Ishizu,石津 英彦,廣瀬 秀幸. Владелец: HITACHI LTD. Дата публикации: 1988-06-10.

Dry etching device

Номер патента: JPS6240728A. Автор: Tsunemasa Tokura,Shigeki Hazamano,戸倉 常正,硲野 重喜. Владелец: Tokuda Seisakusho Co Ltd. Дата публикации: 1987-02-21.

Dry etching device

Номер патента: JPS6292322A. Автор: Yukio Kurosawa,Yoshimi Hakamata,Kunio Hirasawa,袴田 好美,黒沢 幸夫,平沢 邦夫. Владелец: HITACHI LTD. Дата публикации: 1987-04-27.

Dry etching method

Номер патента: JP3154128B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2001-04-09.

Dry etching process

Номер патента: JPS62286229A. Автор: 隆三 宝珍,Riyuuzou Houchin,Ichiro Nakayama,一郎 中山,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1987-12-12.

Dry etching

Номер патента: JPS6320832A. Автор: 隆三 宝珍,Riyuuzou Houchin,Ichiro Nakayama,一郎 中山,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1988-01-28.

Silicon Oxide Dry Etching Method

Номер патента: KR940012059A. Автор: 박해성. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-06-22.

Dry etching method

Номер патента: JP3111640B2. Автор: 哲治 長山,敏治 柳田. Владелец: Sony Corp. Дата публикации: 2000-11-27.

Wafer state for dry etching

Номер патента: JPS639120A. Автор: Yoshimasa Okamura,好真 岡村. Владелец: Canon Hanbai KK. Дата публикации: 1988-01-14.

Dry etching method

Номер патента: JP3104388B2. Автор: 新吾 門村. Владелец: Sony Corp. Дата публикации: 2000-10-30.

Dry etching method

Номер патента: JP3830560B2. Автор: 米一 小河原. Владелец: Canon Anelva Corp. Дата публикации: 2006-10-04.

Dry etching method of aluminum

Номер патента: JPS6033367A. Автор: Naoki Kasai,直記 笠井. Владелец: Nippon Electric Co Ltd. Дата публикации: 1985-02-20.

Dry etching device

Номер патента: JPS6481224A. Автор: Toru Otsubo,Ichiro Sasaki. Владелец: HITACHI LTD. Дата публикации: 1989-03-27.

Multi-chamber dry etching apparatus

Номер патента: JPS5964779A. Автор: Wataru Ogawa,渉 小川. Владелец: Nippon Victor KK. Дата публикации: 1984-04-12.

Low-temperature dry etching device

Номер патента: JPS63197338A. Автор: Kazunori Tsujimoto,和典 辻本,Shinichi Taji,新一 田地,Sadayuki Okudaira,奥平 定之. Владелец: HITACHI LTD. Дата публикации: 1988-08-16.

Method and device for dry etching

Номер патента: JPS62281423A. Автор: Makoto Nawata,Ryoji Fukuyama,良次 福山,誠 縄田,義親 福島,Yoshichika Fukushima. Владелец: HITACHI LTD. Дата публикации: 1987-12-07.

Dry etching method and X-ray mask manufacturing method

Номер патента: JP3995784B2. Автор: 明典 栗川,勉 笑喜,亮 大久保. Владелец: Hoya Corp. Дата публикации: 2007-10-24.

Dry etching method

Номер патента: JPS6039175A. Автор: Tatsumi Mizutani,Kazunori Tsujimoto,和典 辻本,Sadayuki Okudaira,水谷 巽,奥平 定之. Владелец: HITACHI LTD. Дата публикации: 1985-02-28.

Dry etching device

Номер патента: JPS58130281A. Автор: Hitoshi Kudo,均 工藤. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1983-08-03.

Selective dry etching method for copper thin film

Номер патента: JP3077178B2. Автор: 啓二 篠原. Владелец: Sony Corp. Дата публикации: 2000-08-14.

Dry etching apparatus

Номер патента: JPS62154626A. Автор: 隆三 宝珍,Riyuuzou Houchin,Ichiro Nakayama,一郎 中山,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1987-07-09.

Dry etching method

Номер патента: JPS5923875A. Автор: Yoshitsugu Nishimoto,新吾 門村,Shingo Kadomura,西本 佳嗣. Владелец: Sony Corp. Дата публикации: 1984-02-07.

Dry etching method

Номер патента: JP3108929B2. Автор: 哲也 辰巳. Владелец: Sony Corp. Дата публикации: 2000-11-13.

Dry etching method

Номер патента: JP4060450B2. Автор: 仁 松尾,大輔 田島,孝則 西澤,宏樹 笹野. Владелец: Applied Materials Inc. Дата публикации: 2008-03-12.

Semiconductor dry etching method

Номер патента: KR970077314A. Автор: 이선훈. Владелец: 김광호. Дата публикации: 1997-12-12.

Dry etching

Номер патента: JPH1161448A. Автор: 新吾 門村,Shingo Kadomura. Владелец: Sony Corp. Дата публикации: 1999-03-05.

Dry etching method

Номер патента: JPH10209124A. Автор: Kenji Tawara,賢治 田原. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-08-07.

Dry etching

Номер патента: JPS61289634A. Автор: 隆三 宝珍,Riyuuzou Houchin,Ichiro Nakayama,一郎 中山,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1986-12-19.

Method for detecting an end point in a dry etching process

Номер патента: TWI240325B. Автор: Soon-Jong Lee,Bong-Joo Woo,Dong-Seok Lee. Владелец: Semi Sysco Co Ltd. Дата публикации: 2005-09-21.

Dry etching method

Номер патента: JP3079656B2. Автор: 新吾 門村. Владелец: Sony Corp. Дата публикации: 2000-08-21.

Dry etching apparatus

Номер патента: JPS63233532A. Автор: Kenichi Hatasako,Yukio Sonobe,Shuji Kiriyama,桐山 修司,園部 幸夫,畑迫 健一. Владелец: Mitsubishi Electric Corp. Дата публикации: 1988-09-29.

Dry etching method

Номер патента: JP2713903B2. Автор: 博宣 川原,豊 掛樋,良次 福山,勝義 工藤. Владелец: HITACHI LTD. Дата публикации: 1998-02-16.

Dry etching method

Номер патента: JPS5511167A. Автор: Takehisa Nitta,Yoshinori Kureishi. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1980-01-25.

Dry etching method

Номер патента: JP3208596B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2001-09-17.

Dry etching device

Номер патента: JPS6394628A. Автор: Yoshikazu Maegaki,嘉一 前垣. Владелец: Tokuda Seisakusho Co Ltd. Дата публикации: 1988-04-25.

Dry etching unit

Номер патента: JPS62169418A. Автор: Haruo Okano,晴雄 岡野,Tsunetoshi Arikado,経敏 有門,Yasuharu Horiike,堀池 靖治. Владелец: Toshiba Corp. Дата публикации: 1987-07-25.

Dry etching equipment

Номер патента: JP2826409B2. Автор: 康夫 宮崎. Владелец: YAMAGUCHI NIPPON DENKI KK. Дата публикации: 1998-11-18.

Dry etching apparatus

Номер патента: JPS5980779A. Автор: Tsunetoshi Arikado,経敏 有門. Владелец: Toshiba Corp. Дата публикации: 1984-05-10.

Dry etching apparatus

Номер патента: JPS632324A. Автор: 守孝 中村,Moritaka Nakamura. Владелец: Fujitsu Ltd. Дата публикации: 1988-01-07.

Low Temperature Dry Etching Method of Semiconductor Devices

Номер патента: KR940027080A. Автор: 최봉호,강효상. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-12-10.

Dry etching method of compound semiconductor maultilayer film

Номер патента: JP2003234342A. Автор: 真也 布上,Tsutomu Tezuka,勉 手塚,Shinya Nunogami. Владелец: Toshiba Corp. Дата публикации: 2003-08-22.

Dry etching equipment

Номер патента: JPH0834203B2. Автор: 裕介 矢島,精一 村山,完次 辻井. Владелец: HITACHI LTD. Дата публикации: 1996-03-29.

Dry etching equipment for pyroelectric materials

Номер патента: JP2705117B2. Автор: 敏道 石田,真一郎 豊田. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1998-01-26.

Dry etching method and apparatus

Номер патента: JP4056316B2. Автор: 宏之 鈴木,浩 田辺,宏 今井,裕也 原田,佳則 中川. Владелец: Panasonic Corp. Дата публикации: 2008-03-05.

Dry etching method

Номер патента: JPH11111682A. Автор: 新吾 門村,Shingo Kadomura. Владелец: Sony Corp. Дата публикации: 1999-04-23.

Dry etching method for refractory metal film

Номер патента: JP3164789B2. Автор: 雅人 伊藤,世烈 朴. Владелец: Applied Materials Inc. Дата публикации: 2001-05-08.

Dry etching process

Номер патента: JPS6459917A. Автор: Shingo Kadomura. Владелец: Sony Corp. Дата публикации: 1989-03-07.

Method of detecting completion of dry etching

Номер патента: JPS5587437A. Автор: Toshihiko Osada,Takeari Uema. Владелец: Fujitsu Ltd. Дата публикации: 1980-07-02.

Dry etching

Номер патента: JPS6489519A. Автор: Haruo Okano,Tsunetoshi Arikado,Makoto Sekine. Владелец: Toshiba Corp. Дата публикации: 1989-04-04.

Dry etching equipment

Номер патента: CN210325711U. Автор: 朱进,刘家桦,薛荣华,叶日铨. Владелец: Huaian Imaging Device Manufacturer Corp. Дата публикации: 2020-04-14.

Dry etching device

Номер патента: JPS63237529A. Автор: Tsunetoshi Arikado,経敏 有門. Владелец: Toshiba Corp. Дата публикации: 1988-10-04.

Dry etching method for oxide film

Номер патента: JP2950785B2. Автор: 洋司 齋藤. Владелец: SENTORARU GARASU KK. Дата публикации: 1999-09-20.

Dry etching substrate surface treatment method and apparatus

Номер патента: JP3413098B2. Автор: 崇 佐藤,久雄 越智,和樹 小林. Владелец: Sharp Corp. Дата публикации: 2003-06-03.

Device for detecting dry etching damage and method of diagnosing semiconductor device

Номер патента: JPH1079407A. Автор: Takayuki Yamada,隆順 山田. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1998-03-24.

Semiconductor wafer dry etching post-cleaning process

Номер патента: CN114273320A. Автор: 王磊,承明忠,符佳立. Владелец: JIANGYIN JIANGHUA MICROELECTRONICS MATERIALS CO LTD. Дата публикации: 2022-04-05.

Dry etching method

Номер патента: JP3296392B2. Автор: 哲 奥. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 2002-06-24.

Dry etching

Номер патента: JPS63274147A. Автор: Katsuyoshi Kudo,Hironori Kawahara,Yutaka Kakehi,Ryoji Fukuyama,良次 福山,勝義 工藤,掛樋 豊,川原 博宣. Владелец: HITACHI LTD. Дата публикации: 1988-11-11.

Dry etching method

Номер патента: JP3418045B2. Автор: 浩一郎 足立,敏 森下,和雄 杉本. Владелец: Sharp Corp. Дата публикации: 2003-06-16.

End point detection method for plasma dry etching

Номер патента: JP2771387B2. Автор: 豊 長谷部,俊幸 太田. Владелец: NIPPON DENKI FUAKUTORI ENJINIARINGU KK. Дата публикации: 1998-07-02.

Dry etching method for low dielectric constant interlayer insulating film

Номер патента: JP4500029B2. Автор: 泰宏 森川,紅コウ 鄒. Владелец: Ulvac Inc. Дата публикации: 2010-07-14.

Dry etching apparatus and gas flow control inspection method

Номер патента: JP3372840B2. Автор: 浩一 芹川. Владелец: 九州日本電気株式会社. Дата публикации: 2003-02-04.

Dry-etching device

Номер патента: JPS63219129A. Автор: 陽一 藤山,Yoichi Fujiyama. Владелец: Shimadzu Corp. Дата публикации: 1988-09-12.

Dry etching apparatus

Номер патента: JPS634614A. Автор: Hirobumi Yoshida,吉田 寛文. Владелец: HITACHI LTD. Дата публикации: 1988-01-09.

Dry etching equipment

Номер патента: JPH0620060B2. Автор: 明寿 前田. Владелец: Nippon Electric Co Ltd. Дата публикации: 1994-03-16.

Dry etching method

Номер патента: JPS62192589A. Автор: Yoshiaki Kageura,Yasuo Hashino,Eigo Terada,能章 影浦,橋野 康雄,寺田 栄吾. Владелец: Asahi Chemical Industry Co Ltd. Дата публикации: 1987-08-24.

Dry etching apparatus

Номер патента: JPS6059078A. Автор: Seiji Sagawa,誠二 寒川. Владелец: Nippon Electric Co Ltd. Дата публикации: 1985-04-05.

Dry etching method

Номер патента: JP2650970B2. Автор: 喜一郎 向,和典 辻本,新一 田地,定之 奥平. Владелец: HITACHI LTD. Дата публикации: 1997-09-10.

Dry etching device

Номер патента: JPH1174254A. Автор: Tsutomu Hamaguchi,勉 濱口. Владелец: NEC Kyushu Ltd. Дата публикации: 1999-03-16.

Dry etching method

Номер патента: JPS58150429A. Автор: Sadao Okano,貞夫 岡野,Noboru Akiyama,登 秋山. Владелец: HITACHI LTD. Дата публикации: 1983-09-07.

Dry etching apparatus

Номер патента: JPS5396938A. Автор: Keizo Suzuki,Sadayuki Okudaira,Ichiro Shikamata. Владелец: HITACHI LTD. Дата публикации: 1978-08-24.

Method for dry etching

Номер патента: JPS61274326A. Автор: Toshiro Mihashi,敏郎 三橋. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 1986-12-04.