진공처리 유니트 및 장치

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Dry etching method for magnetic material

Номер патента: TW200508418A. Автор: Yoshimitsu Kodaira,Taichi Hiromi. Владелец: Anelva Corp. Дата публикации: 2005-03-01.

Dry etching method for magnetic material

Номер патента: EP2184380A1. Автор: Yoshimitsu Kodaira,Taichi Hiromi. Владелец: Canon Anelva Corp. Дата публикации: 2010-05-12.

Dry etching method for magnetic material

Номер патента: CN1603468A. Автор: 小平吉三,广见太一. Владелец: Anelva Corp. Дата публикации: 2005-04-06.

Anisotropic dry etching technique for deep bulk silicon etching

Номер патента: US20040018734A1. Автор: Jeffrey Fortin,Matthew Nielsen,Richard Saia,James Schermerhorn. Владелец: Lockheed Martin Corp. Дата публикации: 2004-01-29.

Controlled dry etch of a film

Номер патента: US7288476B2. Автор: Ronnie P. Varghese. Владелец: Avago Technologies General IP Singapore Pte Ltd. Дата публикации: 2007-10-30.

Dry etching method

Номер патента: US5880035A. Автор: Seiichi Fukuda. Владелец: Sony Corp. Дата публикации: 1999-03-09.

Dry etching by alternately etching and depositing

Номер патента: US4985114A. Автор: Shinichi Tachi,Hiroshi Kawakami,Kazunori Tsujimoto,Tokuo Kure,Sadayuki Okudaira. Владелец: HITACHI LTD. Дата публикации: 1991-01-15.

Dry etching process for semiconductor

Номер патента: US5522966A. Автор: Kenji Kondo,Yoshikazu Sakano,Atsushi Komura,Shoji Miura,Keiichi Kon,Tetsuhiko Sanbei. Владелец: NipponDenso Co Ltd. Дата публикации: 1996-06-04.

Dry etching of silicon carbide

Номер патента: CA1329167C. Автор: John W. Palmour. Владелец: North Carolina State University. Дата публикации: 1994-05-03.

Method and apparatus for dry etching

Номер патента: US5474650A. Автор: Shinichi Tachi,Kazunori Tsujimoto,Takao Kumihashi. Владелец: HITACHI LTD. Дата публикации: 1995-12-12.

Plasma etching methods

Номер патента: US6010967A. Автор: Kevin G. Donohoe,Richard L. Stocks. Владелец: Micron Technology Inc. Дата публикации: 2000-01-04.

Selective etching method for III-V group semiconductor material using a mixed etching gas and a stop-etching gas

Номер патента: US5389574A. Автор: Yasuyuki Mizunuma. Владелец: Sony Corp. Дата публикации: 1995-02-14.

Etching method for use in fabrication of semiconductor devices

Номер патента: US5798303A. Автор: Darwin A. Clampitt. Владелец: Micron Technology Inc. Дата публикации: 1998-08-25.

Method and structure of pattern mask for dry etching

Номер патента: SG143176A1. Автор: Wen-Kun Yang,Jui-Hsien Chang,Chi-Chen Lee. Владелец: Advanced Chip Eng Tech Inc. Дата публикации: 2008-06-27.

Dry etching method and apparatus for use in the LCD device

Номер патента: US20010020517A1. Автор: Byung-Young Ahn. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2001-09-13.

Dry etching method, method for manufacturing semiconductor element, and cleaning method

Номер патента: US11972955B2. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2024-04-30.

Dry etching method, method for producing semiconductor element, and cleaning method

Номер патента: EP4159892A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2023-04-05.

Resin composition, dry-etching resist mask, and patterning method

Номер патента: US09777079B2. Автор: Makoto Yada,Takeshi Ibe. Владелец: DIC Corp. Дата публикации: 2017-10-03.

Anisotropic dry etching of cu-containing layers

Номер патента: WO2004003256A1. Автор: Lee Chen,Audunn Ludviksson. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2004-01-08.

Dry etching of transparent electrodes in a low pressure plasma reactor

Номер патента: WO1998000874A1. Автор: John P. Holland,Alex T. Demos. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 1998-01-08.

Etching method

Номер патента: US12020942B2. Автор: Kenta Doi,Toshiyuki Nakamura,Yasuhiro Morikawa,Taichi Suzuki. Владелец: Ulvac Inc. Дата публикации: 2024-06-25.

Etching method

Номер патента: US20240304453A1. Автор: Kenta Doi,Toshiyuki Nakamura,Yasuhiro Morikawa,Taichi Suzuki. Владелец: Ulvac Inc. Дата публикации: 2024-09-12.

Silicon etching liquid, silicon etching method, and microelectromechanical element

Номер патента: US09875904B2. Автор: Yoshiko Fujioto. Владелец: Mitsubishi Gas Chemical Co Inc. Дата публикации: 2018-01-23.

Silicon etchant and etching method

Номер патента: GB2474187A. Автор: Kazuyoshi Yaguchi,Ryuji Sotoaka. Владелец: Mitsubishi Gas Chemical Co Inc. Дата публикации: 2011-04-06.

Dry etching process and method for manufacturing magnetic memory device

Номер патента: US20070026681A1. Автор: Tetsuya Tatsumi,Seiji Samukawa,Toshiaki Shiraiwa. Владелец: Sony Corp. Дата публикации: 2007-02-01.

Dry etching method

Номер патента: US20140008322A1. Автор: Kazuya Abe,Toshiyasu Sakai,Hiroyuki Abo. Владелец: Canon Inc. Дата публикации: 2014-01-09.

Method for separating sapphire wafer into chips using dry-etching

Номер патента: US7151045B2. Автор: Ju Hyun Kim,Bang Won Oh. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2006-12-19.

Non-plasma dry etching apparatus

Номер патента: US20140305590A1. Автор: Hiroshi Tanabe,Yasushi Taniguchi,Naoshi Yamaguchi. Владелец: Panasonic Corp. Дата публикации: 2014-10-16.

Selective Etching Method and Method for Forming an Isolation Structure of a Memory Device

Номер патента: US20100167494A1. Автор: Dae Jin Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-07-01.

Etching method and etching system

Номер патента: RU2332749C1. Автор: Ясухиро МОРИКАВА,Тосио ХАЯСИ,Коукоу СУУ. Владелец: Улвак, Инк.. Дата публикации: 2008-08-27.

Etching method with metal hard mask

Номер патента: US12100601B2. Автор: Yu Zhang,Zhaocheng LIU,Aki AKIBA. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-09-24.

Plasma etching method and plasma etching apparatus

Номер патента: US20140076848A1. Автор: Yoichi Nakahara. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-03-20.

Plasma etching method and apparatus, and method of manufacturing liquid ejection head

Номер патента: US20120175061A1. Автор: Shuji Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2012-07-12.

Etching method and bevel etching apparatus

Номер патента: US09623516B2. Автор: Masaki Kondo. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-04-18.

Substrate etching method

Номер патента: US09478439B2. Автор: Zhongwei Jiang. Владелец: Beijing NMC Co Ltd. Дата публикации: 2016-10-25.

Two etchant etch method

Номер патента: US20020052113A1. Автор: AJAY Kumar,Dragan Podlesnik,Jeffrey Chinn,Anisul Khan. Владелец: Applied Materials Inc. Дата публикации: 2002-05-02.

Liquid-carrying roller for wet etching and wet etching method

Номер патента: EP4207260A1. Автор: LIN Lu,Bin Chen,Yunlu Wang. Владелец: JA Solar Technology Yangzhou Co Ltd. Дата публикации: 2023-07-05.

Wet etching method for an N-type bifacial cell

Номер патента: US09537037B2. Автор: Chen Zhao,Lei Shi,Fei Zheng,Zhongli RUAN,Zhongwei Zhang,Yuxue ZHAO. Владелец: SHANGHAI SHENZHOU NEW ENERGY DEVELOPMENT Co Ltd. Дата публикации: 2017-01-03.

Etching method with metal hard mask

Номер патента: US20240112923A1. Автор: Yu Zhang,Xiaoming He,Zhaocheng LIU,Aki AKIBA. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-04-04.

Etching method

Номер патента: US10424491B2. Автор: Yuki TAKANASHI,Noriaki OIKAWA. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-09-24.

Plasma etching method using faraday cage

Номер патента: EP3712927A1. Автор: So Young Choo,Eun Kyu Her,Jeong Ho Park,Bu Gon Shin,Chung Wan Kim,Song Ho Jang,Jung Hwan Yoon. Владелец: LG Chem Ltd. Дата публикации: 2020-09-23.

Substrate etching method

Номер патента: US20150311091A1. Автор: Zhongwei Jiang. Владелец: Beijing NMC Co Ltd. Дата публикации: 2015-10-29.

Plasma etching method using perfluoroisopropyl vinyl ether

Номер патента: US20230162972A1. Автор: Jun-Hyun Kim,Chang-Koo Kim. Владелец: Ajou University Industry Academic Cooperation Foundation. Дата публикации: 2023-05-25.

Plasma etching method using pentafluoropropanol

Номер патента: US20230178341A1. Автор: Jun-Hyun Kim,Chang-Koo Kim. Владелец: Ajou University Industry Academic Cooperation Foundation. Дата публикации: 2023-06-08.

Plasma etching method

Номер патента: US20240006186A1. Автор: Jun-Hyun Kim,Chang-Koo Kim,Sang-Hyun YOU. Владелец: Ajou University Industry Academic Cooperation Foundation. Дата публикации: 2024-01-04.

Plasma etching method

Номер патента: US7037843B2. Автор: Isamu Namose. Владелец: Seiko Epson Corp. Дата публикации: 2006-05-02.

Plasma etching method using faraday cage

Номер патента: US20200365379A1. Автор: So Young Choo,Eun Kyu Her,Jeong Ho Park,Bu Gon Shin,Chung Wan Kim,Song Ho Jang,Jung Hwan Yoon. Владелец: LG Chem Ltd. Дата публикации: 2020-11-19.

Laser etching apparatus and laser etching method using the same

Номер патента: US20230294209A1. Автор: HeungYeol Na,Jungwoo CHOI,Yoonchul KIM,Seong Jin YEON. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-09-21.

Liquid-carrying roller for wet etching and wet etching method

Номер патента: US20230343888A1. Автор: LIN Lu,Bin Chen,Yunlu Wang. Владелец: JA Solar Technology Yangzhou Co Ltd. Дата публикации: 2023-10-26.

Filter, method for producing same, dry etching apparatus and dry etching method

Номер патента: WO2017169809A1. Автор: 孝明 平野,宗洋 百武. Владелец: 日本ゼオン株式会社. Дата публикации: 2017-10-05.

Filter, method for producing same, dry etching apparatus and dry etching method

Номер патента: TW201800139A. Автор: 百武宗洋,平野孝明. Владелец: 日本瑞翁股份有限公司. Дата публикации: 2018-01-01.

Dry etching gases and method of dry etching

Номер патента: EP1760769A4. Автор: Toshiro Yamada,Tatsuya Sugimoto,A Sekiya,Takanobu Mase. Владелец: Zeon Corp. Дата публикации: 2009-05-13.

Method for the selective dry etching of layers of III-V group semiconductive materials

Номер патента: US4742026A. Автор: Jean Vatus,Jean Chevrier. Владелец: Thomson CSF SA. Дата публикации: 1988-05-03.

How to Clean the Etching Chamber of Dry Etching Equipment

Номер патента: KR950021173A. Автор: 히데아끼 가와모또. Владелец: 닛뽕덴끼 가부시끼가이샤. Дата публикации: 1995-07-26.

Dry etching method

Номер патента: JP3013446B2. Автор: 啓二 篠原. Владелец: Sony Corp. Дата публикации: 2000-02-28.

Dry etching method

Номер патента: KR100218772B1. Автор: 신고 가도무라,데쓰지 나가야마. Владелец: 이데이 노부유끼. Дата публикации: 1999-09-01.

Dry etching method for organic material layers

Номер патента: EP0123813A3. Автор: Iwao C/O Patent Division Higashikawa,Tsunetoshi C/O Patent Division Arikado. Владелец: Toshiba Corp. Дата публикации: 1988-11-09.

再生pecvd设备或dry etch设备腔体中的构件的方法

Номер патента: CN114535186. Автор: 刘超,刘晓刚,朱勇,李仁杰,蔡广云,黄来国. Владелец: Hefei Weirui Optoelectronic Technology Co ltd. Дата публикации: 2022-05-27.

FILTER, METHOD FOR PRODUCING THE SAME, DRY ETCHING APPARATUS, AND DRY ETCHING METHOD

Номер патента: US20190105588A1. Автор: HIRANO Takaaki,HYAKUTAKE Munehiro. Владелец: ZEON CORPORATION. Дата публикации: 2019-04-11.

Dry etching method, method for producing semiconductor element, and cleaning method

Номер патента: US20240282583A1. Автор: Kazuma Matsui,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2024-08-22.

Dry etching method, and dry etching agent and storage container therefor

Номер патента: US12100600B2. Автор: Shinya Ikeda,Hiroyuki Oomori,Tatsunori Kamida. Владелец: Central Glass Co Ltd. Дата публикации: 2024-09-24.

Dry etching method, method for manufacturing semiconductor device, and etching device

Номер патента: US20220056593A1. Автор: Akifumi YAO,Kunihiro Yamauchi,Yuuta TAKEDA. Владелец: Central Glass Co Ltd. Дата публикации: 2022-02-24.

Metal removal method, dry etching method, and production method for semiconductor element

Номер патента: US20220325418A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2022-10-13.

Dry etching method

Номер патента: US20010055886A1. Автор: Teiichi Kimura,Yoshihiro Yanagi,Kiyohiko Takagi. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2001-12-27.

Dry etching method, microfabrication process and dry etching mask

Номер патента: US20020028359A1. Автор: Kenji Uchiyama,Kazuhiro Hattori. Владелец: TDK Corp. Дата публикации: 2002-03-07.

Dry Etching Method, Semiconductor Device Manufacturing Method, and Chamber Cleaning Method

Номер патента: US20190355590A1. Автор: Akifumi YAO,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2019-11-21.

Dry etching method, method for producing semiconductor element, and cleaning method

Номер патента: EP4354490A1. Автор: Kazuma Matsui,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2024-04-17.

Dry etching method for film layer structure and film layer structure

Номер патента: US20210010140A1. Автор: Chong HU,Xianwang WEI. Владелец: TCL China Star Optoelectronics Technology Co Ltd. Дата публикации: 2021-01-14.

Dry Etching Method

Номер патента: US20210358762A1. Автор: Hiroyuki Oomori,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2021-11-18.

Dry etching method and dry etching agent

Номер патента: US9929021B2. Автор: Akifumi YAO,Hiroyuki Oomori. Владелец: Central Glass Co Ltd. Дата публикации: 2018-03-27.

Dry Etching Method and Dry Etching Agent

Номер патента: US20170084467A1. Автор: Akifumi YAO,Hiroyuki Oomori. Владелец: Central Glass Co Ltd. Дата публикации: 2017-03-23.

Method for dry-etching lithium niobate

Номер патента: EP4290556A1. Автор: Jian Liu,Kaidong Xu,Dongchen CHE,Taiyan PENG,Yingxiong FENG,Haicheng DAI. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2023-12-13.

Dry-etching gas for semiconductor process

Номер патента: US20080203353A1. Автор: Dong Hyun Kim,Jong Yeol Yang,Young Hoon Ahn,Bong Suk Kim,Hae Seok Ji,Ook Jae Cho,Jae Gug Ryu. Владелец: Ulsan Chemical Co Ltd. Дата публикации: 2008-08-28.

Dry etching of metal film

Номер патента: CA1136525A. Автор: Kazuo Tokitomo,Hitoshi Hoshino,Tadakazu Takada. Владелец: Fujitsu Ltd. Дата публикации: 1982-11-30.

Etching method and method of fabricating a semiconductor device using the same

Номер патента: US09972696B2. Автор: Sang Won Bae,Hoyoung Kim,Wonsang Choi,Jae-Jik Baek. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-05-15.

Etching composition for metal nitride layer and etching method using the same

Номер патента: US12031077B2. Автор: Hyeon Woo PARK,Myung Ho Lee,Myung Geun Song,Seok Hyeon NAM. Владелец: ENF Technology CO Ltd. Дата публикации: 2024-07-09.

Etching method and method for producing semiconductor element

Номер патента: US20240249952A1. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2024-07-25.

Etching method and semiconductor element manufacturing method

Номер патента: EP4181176A1. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2023-05-17.

Etching gas, etching method, and method for producing semiconductor device

Номер патента: US20230386851A1. Автор: Atsushi Suzuki. Владелец: Resonac Corp. Дата публикации: 2023-11-30.

Etching gas, etching method, and method for producing semiconductor element

Номер патента: EP4231332A1. Автор: Atsushi Suzuki. Владелец: Resonac Corp. Дата публикации: 2023-08-23.

Etching method of glass substrate and wet etching apparatus thereof

Номер патента: US09676661B2. Автор: JIA Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-06-13.

Etching method

Номер патента: US09396962B2. Автор: Takayuki Katsunuma,Masanobu Honda,Keiji Kitagaito. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-07-19.

Plasma etching method

Номер патента: US20170372915A1. Автор: Hirotoshi Inui. Владелец: Zeon Corp. Дата публикации: 2017-12-28.

Gold or gold alloy etching solution composition and etching method

Номер патента: EP4283014A1. Автор: Yuki Yoshida,Koichi Inoue,Iori KAWASHIMA,Itsuki KASHIWAGI. Владелец: Kanto Chemical Co Inc. Дата публикации: 2023-11-29.

Plasma etching method and plasma etching apparatus

Номер патента: US12062522B2. Автор: Fumiya Kobayashi,Maju TOMURA,Keiji Kitagaito. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-08-13.

Etching method

Номер патента: US12119233B2. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2024-10-15.

Etching method and substrate processing apparatus

Номер патента: US09882124B2. Автор: Akitaka Shimizu,Eiichi Nishimura,Fumiko Yamashita. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-01-30.

Plasma etching method, plasma etching device, plasma processing method, and plasma processing device

Номер патента: US09837251B2. Автор: Naoki Moriguchi. Владелец: Ulvac Inc. Дата публикации: 2017-12-05.

Etching method, method of manufacturing article, and etching solution

Номер патента: US09701902B2. Автор: Yusaku Asano. Владелец: Toshiba Corp. Дата публикации: 2017-07-11.

Plasma etching method

Номер патента: US09680090B2. Автор: Kentaro Yamada,Naohiro Yamamoto,Masato Ishimaru,Makoto Suyama,Daisuke Fujita. Владелец: Hitachi High Technologies Corp. Дата публикации: 2017-06-13.

Plasma etching method

Номер патента: US20190027368A1. Автор: Go Matsuura. Владелец: Zeon Corp. Дата публикации: 2019-01-24.

Etching method and plasma processing apparatus

Номер патента: US20240234097A1. Автор: Atsushi Takahashi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-11.

Etching liquid composition and etching method

Номер патента: US20180298501A1. Автор: Tamami Aoki,Yoshihide Saio,Yuji MASAMOTO. Владелец: Adeka Corp. Дата публикации: 2018-10-18.

Etching method and etching apparatus

Номер патента: US09991138B2. Автор: Akifumi YAO,Mitsuhiro Tachibana,Koji Takeya,Tatsuo Miyazaki,Kunihiro Yamauchi,Jun Lin. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-06-05.

High-purity fluorinated hydrocarbon, use as a plasma etching gas, and plasma etching method

Номер патента: US09984896B2. Автор: Tatsuya Sugimoto. Владелец: Zeon Corp. Дата публикации: 2018-05-29.

Etching gas, method for producing same, etching method, and method for producing semiconductor device

Номер патента: US20230386853A1. Автор: Atsushi Suzuki. Владелец: Resonac Corp. Дата публикации: 2023-11-30.

Etching gas, method for producing same, etching method, and method for producing semiconductor device

Номер патента: US20230374381A1. Автор: Atsushi Suzuki. Владелец: Resonac Corp. Дата публикации: 2023-11-23.

Etching gas, etching method, and method for producing semiconductor device

Номер патента: US20230386850A1. Автор: Atsushi Suzuki. Владелец: Resonac Corp. Дата публикации: 2023-11-30.

Plasma etching method and method for manufacturing semiconductor element

Номер патента: US20240038546A1. Автор: Kazuma Matsui,Yuki Oka. Владелец: Showa Denko KK. Дата публикации: 2024-02-01.

Etching gas, etching method, and method for manufacturing semiconductor device

Номер патента: EP4231331A1. Автор: Atsushi Suzuki. Владелец: Resonac Corp. Дата публикации: 2023-08-23.

Etching method and plasma processing apparatus

Номер патента: US20210143028A1. Автор: Takahiro Yokoyama,Yoshihide Kihara,Takatoshi ORUI,Maju TOMURA,Ryutaro Suda. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-05-13.

Etching agent, etching method and liquid for preparing etching agent

Номер патента: MY152247A. Автор: Shirahata Satoshi,MATSUDA Osamu,Kikuchi Nobuyuki,Hayashida Ichiro. Владелец: Wako Pure Chem Ind Ltd. Дата публикации: 2014-09-15.

Etching gas and etching method

Номер патента: EP4307349A1. Автор: Yosuke TANIMOTO,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2024-01-17.

High-precision etching method

Номер патента: US11827988B2. Автор: Chen Li,Huilong Zhu,Yongkui Zhang,Xiaogen YIN,Anyan Du. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2023-11-28.

Etching solution and etching method for gold or gold alloy

Номер патента: US20240076547A1. Автор: Yuki Yoshida,Koichi Inoue,Iori KAWASHIMA,Itsuki KASHIWAGI. Владелец: Kanto Chemical Co Inc. Дата публикации: 2024-03-07.

Wet Etching Method

Номер патента: US20230374669A1. Автор: Takuya Okada,Yosuke Nakamura,Kenta WATANABE,Soichi Kumon,Takahisa Taniguchi,Kazuki Yoshiura. Владелец: Central Glass Co Ltd. Дата публикации: 2023-11-23.

Etching apparatus and etching method technical field

Номер патента: WO2014010751A1. Автор: Isao Yamada,Koji Yamashita,Kenichi Hara,Koji Kasuga,Noriaki Toyoda. Владелец: University of Hyogo. Дата публикации: 2014-01-16.

Photolithographic etching method for nickel oxide

Номер патента: US3677847A. Автор: Alfred E Feuersanger,Lawrence M Harris. Владелец: US Department of Army. Дата публикации: 1972-07-18.

Etching gas and etching method

Номер патента: US20240153778A1. Автор: Yosuke TANIMOTO,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2024-05-09.

Etching method and semiconductor element manufacturing method

Номер патента: US20230290643A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2023-09-14.

Etching method, etching apparatus, and storage medium

Номер патента: US9449844B2. Автор: Isao Yamada,Kenichi Hara,Takashi Hayakawa,Noriaki Toyoda. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-09-20.

Etching method and processing device

Номер патента: US20240191359A1. Автор: Toshio Hasegawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-13.

Plasma etching method using gas molecule containing sulfur atom

Номер патента: EP3813097A1. Автор: Yoshinao Takahashi,Korehito Kato,Yoshihiko IKETANI,Mitsuharu SHIMODA. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2021-04-28.

Etching method and plasma processing apparatus

Номер патента: US20230420263A1. Автор: Takayuki Katsunuma. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-12-28.

Etching device and etching method

Номер патента: US20230335419A1. Автор: Kai-Ming Yang,Cheng-Ta Ko,Pu-Ju Lin,Chin-Sheng Wang,Chia-Yu Peng. Владелец: Unimicron Technology Corp. Дата публикации: 2023-10-19.

Etching method and etching composition

Номер патента: US20150287608A1. Автор: Ta-Hone Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2015-10-08.

Etching method

Номер патента: US11901185B2. Автор: Takayuki Tajima,Mitsuo Sano,Susumu Obata,Kazuhito Higuchi. Владелец: Toshiba Corp. Дата публикации: 2024-02-13.

Wet Etching Method and Etching Solution

Номер патента: US20180138053A1. Автор: Akifumi YAO,Masaki Fujiwara,Tatsuo Miyazaki,Kunihiro Yamauchi. Владелец: Central Glass Co Ltd. Дата публикации: 2018-05-17.

Liquid composition and etching method for etching silicon substrate

Номер патента: US9799526B2. Автор: Shuji Koyama,Hirohisa Fujita,Taichi YONEMOTO. Владелец: Canon Inc. Дата публикации: 2017-10-24.

Liquid composition and etching method for etching silicon substrate

Номер патента: US20160020113A1. Автор: Shuji Koyama,Hirohisa Fujita,Taichi YONEMOTO. Владелец: Canon Inc. Дата публикации: 2016-01-21.

Etching liquid composition and etching method

Номер патента: US20200010762A1. Автор: Daisuke Omiya,Junro ISHIZAKI. Владелец: Adeka Corp. Дата публикации: 2020-01-09.

Etching method and plasma processing system

Номер патента: US20230307243A1. Автор: Yoshihide Kihara,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-28.

Etching method

Номер патента: US20200263309A1. Автор: Koki Tanaka,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-08-20.

Etching method

Номер патента: US20170309478A1. Автор: Nobuhiro Takahashi,Kazuaki Nishimura,Koji Takeya,Junichiro Matsunaga. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-10-26.

Plasma etching method

Номер патента: US20190228983A1. Автор: Takaaki Sakurai,Hirotoshi Inui. Владелец: Zeon Corp. Дата публикации: 2019-07-25.

Etching method and etching device

Номер патента: US20210287915A1. Автор: Akifumi YAO,Kazuaki Nishimura,Susumu Yamauchi,Tatsuo Miyazaki,Kunihiro Yamauchi,Jun Lin. Владелец: Central Glass Co Ltd. Дата публикации: 2021-09-16.

Etching method and plasma processing apparatus

Номер патента: US20240213032A1. Автор: Takuya Sawano,Koki MUKAIYAMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-27.

Etching method for making fluid bearings

Номер патента: US6905617B2. Автор: Hung-Kuang Hsu,Kuang-Hsien Chang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2005-06-14.

Etching method for making fluid bearings

Номер патента: US20040060905A1. Автор: Hung-Kuang Hsu,Kuang-Hsien Chang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2004-04-01.

A laser etching method for mems probes

Номер патента: US20240001485A1. Автор: Ming Zhou,Haichao Yu. Владелец: Maxone Semiconductor Suzhou Co Ltd. Дата публикации: 2024-01-04.

Dry etching method of semiconductor substrate and dry etching method of silicon oxide film

Номер патента: EP4152362A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-03-22.

Dry etching method

Номер патента: US09728422B2. Автор: Hiroyuki Oomori,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2017-08-08.

Etching methods, etching apparatus and methods for fabricating semiconductor devices

Номер патента: US20030036285A1. Автор: Takashi Kokubun. Владелец: Seiko Epson Corp. Дата публикации: 2003-02-20.

Etching method

Номер патента: US09911617B2. Автор: Jinbiao Liu,Junfeng Li,Xiaobin He,Junjie Li,Qinghua Yang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2018-03-06.

Dry etching method of manufacturing semiconductor light emitting device substrate

Номер патента: US09748441B2. Автор: Kei Shinotsuka,Kotaro Dai,Yoshihisa Hatta,Yasuhito KAJITA. Владелец: Oji Holdings Corp. Дата публикации: 2017-08-29.

Local dry etching method

Номер патента: US6649528B2. Автор: Michihiko Yanagisawa,Tadayoshi Okuya. Владелец: SpeedFam Co Ltd. Дата публикации: 2003-11-18.

Dry etching method or dry cleaning method

Номер патента: US11814726B2. Автор: Yoshinao Takahashi,Korehito Kato,Katsuya Fukae. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2023-11-14.

Pressure purge etch method for etching complex 3-D structures

Номер патента: US09870932B1. Автор: Joydeep Guha,Pilyeon Park. Владелец: Lam Research Corp. Дата публикации: 2018-01-16.

Dry etching method

Номер патента: US09905431B2. Автор: Masahito Mori,Takao Arase,Satoshi Terakura,Ryuta Machida. Владелец: Hitachi High Technologies Corp. Дата публикации: 2018-02-27.

Method for dry-etching semiconductor substrate and method for dry-etching silicon oxide film

Номер патента: US20230207399A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-06-29.

Dry etching method

Номер патента: US20020119667A1. Автор: Mitsuhiro Okuni. Владелец: Individual. Дата публикации: 2002-08-29.

Detecting method for dry etching machine

Номер патента: US20040110384A1. Автор: Szetsen Steven Lee. Владелец: Winbond Electronics Corp. Дата публикации: 2004-06-10.

Etching method and photomask blank processing method

Номер патента: EP2251741A3. Автор: Hideo Kaneko,Hiroki Yoshikawa,Shinichi Igarashi,Yukio Inazuki,Yoshinori Kinase. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2012-09-19.

Manufacturing method of semiconductor device and dry etching apparatus for the same

Номер патента: US20140273482A1. Автор: Masaki Matsui,Yoshinori Tsuchiya,Shinichi Hoshi. Владелец: Denso Corp. Дата публикации: 2014-09-18.

Etching method

Номер патента: US09812292B2. Автор: Hidekazu Iida. Владелец: Disco Corp. Дата публикации: 2017-11-07.

Etching method

Номер патента: US20160260624A1. Автор: Hidekazu Iida. Владелец: Disco Corp. Дата публикации: 2016-09-08.

Dry etching process for compound semiconductors

Номер патента: WO2005079472A3. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: Northrop Grumman Corp. Дата публикации: 2006-04-20.

Dry etching process for compound semiconductors

Номер патента: WO2005079472A2. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: NORTHROP GRUMMAN CORPORATION. Дата публикации: 2005-09-01.

Dry etching process for compound semiconductors

Номер патента: EP1719160A2. Автор: Jennifer Wang,Huai-Min Sheng,Mike Barsky. Владелец: Northrop Grumman Corp. Дата публикации: 2006-11-08.

Silicon (si) dry etch for die-to-wafer thinning

Номер патента: WO2024137957A1. Автор: Prayudi LIANTO,Guan Huei See,Arvind Sundarrajan,Cheng Sun,ChangBum YONG. Владелец: Applied Materials, Inc.. Дата публикации: 2024-06-27.

Silicon Dry Etching Method

Номер патента: US20160005612A1. Автор: Isamu Mori,Akiou Kikuchi,Masanori WATARI. Владелец: Central Glass Co Ltd. Дата публикации: 2016-01-07.

Silicon dry etching method

Номер патента: US9524877B2. Автор: Isamu Mori,Akiou Kikuchi,Masanori WATARI. Владелец: Central Glass Co Ltd. Дата публикации: 2016-12-20.

Dry etching method

Номер патента: US20100255612A1. Автор: Hitoshi Kobayashi,Toru Ito,Yoshiharu Inoue,Toshiaki Nishida,Hiroaki Ishimura,Masunori Ishihara. Владелец: Hitachi High Technologies Corp. Дата публикации: 2010-10-07.

Dry etching method

Номер патента: US5366590A. Автор: Shingo Kadomura. Владелец: Sony Corp. Дата публикации: 1994-11-22.

System and method for performing spin dry etching

Номер патента: US11854861B2. Автор: Chih-Chiang Tu,Chun-Lang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Method for removing residual polymer after the dry etching process and reducing oxide loss

Номер патента: US20020177309A1. Автор: Chung-Tai Chen. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-28.

Etching method

Номер патента: US20170186619A1. Автор: Jinbiao Liu,Junfeng Li,Xiaobin He,Junjie Li,Qinghua Yang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-06-29.

Dry etching method and apparatus for manufacturing a semiconductor device

Номер патента: US5990016A. Автор: Byong-dong Kim,Jung-kyu Lee,Sung-il Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-11-23.

Dry etching method

Номер патента: US9330888B2. Автор: Xi Chen,Liangliang LI,Yao Liu,Xiaowei Liu,Jinchao BAI,Xiangqian Ding. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2016-05-03.

Dry etching method

Номер патента: US20180233376A9. Автор: Yueping Zuo,Yinghai Ma,Liangjian Li. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-08-16.

Dry-etching method

Номер патента: US10192749B2. Автор: Kenichi Kuwahara,Syuji ENOKIDA. Владелец: Hitachi High Technologies Corp. Дата публикации: 2019-01-29.

Multi-step local dry etching method for SOI wafer

Номер патента: US20040063329A1. Автор: Yasuhiro Horiike,Kazuyuki Tsuruoka,Michihiko Yanagisawa. Владелец: SpeedFam Co Ltd. Дата публикации: 2004-04-01.

Dry etching method

Номер патента: US20190080928A1. Автор: Lei Zhao,Qingzhao Liu,Jiushi WANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-03-14.

Dry etching method

Номер патента: US10468271B2. Автор: Lei Zhao,Qingzhao Liu,Jiushi WANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-11-05.

Dry-etching method

Номер патента: US20170162397A1. Автор: Kenichi Kuwahara,Syuji ENOKIDA. Владелец: Hitachi High Technologies Corp. Дата публикации: 2017-06-08.

Methods for dry etching semiconductor devices

Номер патента: US09484216B1. Автор: Roy H. Olsson,Andrew John Gross,Peggy J. Clews,Todd Bauer. Владелец: Sandia Corp. Дата публикации: 2016-11-01.

Dry etching method

Номер патента: US4406733A. Автор: Shinichi Tachi. Владелец: HITACHI LTD. Дата публикации: 1983-09-27.

Dry etching method for a gallium nitride type compound semiconductor

Номер патента: US5693180A. Автор: Satoshi Sugahara,Katsuki Furukawa. Владелец: Sharp Corp. Дата публикации: 1997-12-02.

Dry etching Method

Номер патента: US5635021A. Автор: Kenji Harafuji. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1997-06-03.

Method for dry etching of silicon substrate

Номер патента: GB2316805A. Автор: Hideyuki Shoji,Takakazu Kusuki. Владелец: NEC Corp. Дата публикации: 1998-03-04.

Dry etching method

Номер патента: US5338399A. Автор: Toshiharu Yanagida. Владелец: Sony Corp. Дата публикации: 1994-08-16.

Method of fabricating semiconductor device using dry etching

Номер патента: US20170301569A1. Автор: Youngjae Kim,Chanmin Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-19.

Transition metal dry etch by atomic layer removal of oxide layers for device fabrication

Номер патента: EP3311398A1. Автор: Patricio E. Romero,John J. Plombon. Владелец: Intel Corp. Дата публикации: 2018-04-25.

Dry-etching method and apparatus

Номер патента: US5409562A. Автор: Shinichi Tachi,Kazunori Tsujimoto,Takao Kumihashi. Владелец: HITACHI LTD. Дата публикации: 1995-04-25.

Dry etching method or dry cleaning method

Номер патента: JP6952766B2. Автор: 高橋 至直,至直 高橋,深江 功也,功也 深江,惟人 加藤. Владелец: Kanto Denka Kyogyo Co.,Ltd.. Дата публикации: 2021-10-20.

Dry etching method or dry cleaning method

Номер патента: US20210108311A1. Автор: Yoshinao Takahashi,Korehito Kato,Katsuya Fukae. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2021-04-15.

Systems and methods for dry etching a photodetector array

Номер патента: US20140367822A1. Автор: Pierre-Yves Delaunay. Владелец: Boeing Co. Дата публикации: 2014-12-18.

Procedure for fabricating devices involving dry etching

Номер патента: CA1287556C. Автор: Ronald Joseph Schutz. Владелец: American Telephone and Telegraph Co Inc. Дата публикации: 1991-08-13.

Dry etch for nitride exhume processes in 3d nand fabrication

Номер патента: US20240055269A1. Автор: Pradeep K. Subrahmanyan,Sankuei Lin,Changwoo SUN. Владелец: Applied Materials Inc. Дата публикации: 2024-02-15.

Dry etch for nitride exhume processes in 3d nand fabrication

Номер патента: WO2024036276A1. Автор: Pradeep K. Subrahmanyan,Sankuei Lin,Changwoo SUN. Владелец: Applied Materials, Inc.. Дата публикации: 2024-02-15.

Dry etching method and semiconductor device manufacturing method

Номер патента: US20010005632A1. Автор: Hideo Ichinose,Shoji Seta. Владелец: Toshiba Corp. Дата публикации: 2001-06-28.

Electrode fixing assembly and dry etching device

Номер патента: US20240186120A1. Автор: Zhiyuan Li,Xianfu Zeng. Владелец: Shenzhen China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2024-06-06.

Photomask making method, photomask blank and dry etching method

Номер патента: US9164374B2. Автор: Kazuhiro Nishikawa,Hideo Kaneko,Shinichi Igarashi,Yukio Inazuki. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2015-10-20.

Dry etching method of copper or copper alloy interconnection layer employing plasma of an iodine compound

Номер патента: US5240559A. Автор: Tomoaki Ishida. Владелец: Mitsubishi Electric Corp. Дата публикации: 1993-08-31.

Method for tapered dry etching

Номер патента: US4522681A. Автор: Richard J. Saia,Bernard Gorowitz. Владелец: General Electric Co. Дата публикации: 1985-06-11.

Etching method

Номер патента: US7402523B2. Автор: Takehiko Orii,Eiichi Nishimura. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-07-22.

Dry etching device and method for controlling same

Номер патента: US11348810B2. Автор: Sang Jun Choi,Ji Sung Kang. Владелец: Vault Creation Co ltd. Дата публикации: 2022-05-31.

Dry etching device and method for controlling same

Номер патента: US20190318942A1. Автор: Sang Jun Choi,Ji Sung Kang. Владелец: Vault Creation Co ltd. Дата публикации: 2019-10-17.

Silicon (Si) Dry Etch for Die-to-Wafer Thinning

Номер патента: US20240213028A1. Автор: Prayudi LIANTO,Guan Huei See,Arvind Sundarrajan,Cheng Sun,ChangBum YONG. Владелец: Applied Materials Inc. Дата публикации: 2024-06-27.

Etching methods with alternating non-plasma and plasma etching processes

Номер патента: US20240162042A1. Автор: Xiangyu GUO,Nathan Stafford. Владелец: American Air Liquide Inc. Дата публикации: 2024-05-16.

Local dry etching apparatus and local dry etching fabrication method

Номер патента: US20160203989A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2016-07-14.

Methods and systems for dry etching

Номер патента: US20240006157A1. Автор: Chien-Liang Chen,Meng-Chang Wu,Shao-Chien Hsu,Jung-Wang Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-04.

Dry etching method, production method for semiconductor element, and cleaning method

Номер патента: US20220230888A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2022-07-21.

Dry etching method, manufacturing method of semiconductor element, and cleaning method

Номер патента: TWI765581B. Автор: 松井一真. Владелец: 日商昭和電工股份有限公司. Дата публикации: 2022-05-21.

Dry etching method, method for producing semiconductor element, and cleaning method

Номер патента: EP4159892A4. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2023-11-29.

Dry etching method, production method for semiconductor element, and cleaning method

Номер патента: EP4113582A4. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2023-10-11.

Dry etching method, method for manufacturing semiconductor element, and cleaning method

Номер патента: IL294508A. Автор: . Владелец: Showa Denko KK. Дата публикации: 2022-09-01.

Dry etching of transparent electrodes in a low pressure plasma reactor

Номер патента: AU3509997A. Автор: John P. Holland,Alex T. Demos. Владелец: Lam Research Corp. Дата публикации: 1998-01-21.

Dry etching apparatus using reactive ions

Номер патента: US4526643A. Автор: Haruo Okano,Takashi Yamazaki,Yasuhiro Horiike,Hiromichi Horie. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1985-07-02.

Parallel plate dry etching apparatus and method for manufacturing semiconductor device using same

Номер патента: US20140273494A1. Автор: Shingo Honda. Владелец: Toshiba Corp. Дата публикации: 2014-09-18.

Dry etching apparatus

Номер патента: US6165334A. Автор: Koji Watanabe. Владелец: Fujitsu Ltd. Дата публикации: 2000-12-26.

Dry etching

Номер патента: GB2317494A. Автор: Hideyuki Shoji. Владелец: NEC Corp. Дата публикации: 1998-03-25.

Transition metal dry etch by atomic layer removal of oxide layers for device fabrication

Номер патента: US20180138054A1. Автор: Patricio E. Romero,John J. Plombon. Владелец: Intel Corp. Дата публикации: 2018-05-17.

Dry-etching process simulator

Номер патента: US5421934A. Автор: Noboru Nomura,Akio Misaka,Kenji Harafugi,Masafumi Kubbota. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1995-06-06.

Dry etching method and gas processing device

Номер патента: JP2871632B2. Автор: 正敏 徳島. Владелец: Nippon Electric Co Ltd. Дата публикации: 1999-03-17.

Methods and systems for dry etching

Номер патента: US20240071803A1. Автор: Chou-Feng Lee,Chih-Hsien Hsu,Fu-Yi Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-29.

Electrode for dry etching a wafer

Номер патента: US20050178505A1. Автор: Young Yul Kim. Владелец: Individual. Дата публикации: 2005-08-18.

Apparatus for detecting dry etch end point of semiconductor device manufacturing process

Номер патента: KR20040032410A. Автор: 김백원,신중욱. Владелец: 아남반도체 주식회사. Дата публикации: 2004-04-17.

Dry etching apparatus and its manufacturing method

Номер патента: US6350698B1. Автор: Yukihiro Kamide. Владелец: Sony Corp. Дата публикации: 2002-02-26.

Dry etching apparatus and clamp therefor

Номер патента: US20140224427A1. Автор: Shuji Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2014-08-14.

Dry-etch device and a lower electrode thereof

Номер патента: US20180047546A1. Автор: Wei Li,Kai Yu,Guangming Zhang,Hongming QU. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2018-02-15.

Device for dry etching a wafer and appertaining method

Номер патента: US20010008804A1. Автор: Franz Sumnitsch. Владелец: Individual. Дата публикации: 2001-07-19.

Local dry etching apparatus

Номер патента: US20200135429A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2020-04-30.

Dry-etching of indium and tin oxydes

Номер патента: WO1998059381A1. Автор: Jie Chen,Yuen-Kui Wong. Владелец: Applied Komatsu Technology, Inc.. Дата публикации: 1998-12-30.

Etching method and manufacturing method of a semiconductor memory device

Номер патента: US20240321570A1. Автор: Tsubasa IMAMURA,Ayata Harayama. Владелец: Kioxia Corp. Дата публикации: 2024-09-26.

Etching method and storage medium

Номер патента: US09613823B2. Автор: Hiroyuki Takahashi,Kenshirou ASAHI,Kimihiko DEMICHI. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-04-04.

Via-hole etching method

Номер патента: US09564354B2. Автор: Donghua Jiang,Wuyang ZHAO,Chundong LI,Byung Chun Lee,Yongyi FU. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-02-07.

Plasma etching method, and production method for semiconductor element

Номер патента: US20220068652A1. Автор: Yoshimasa Inamoto. Владелец: Panasonic Intellectual Property Management Co Ltd. Дата публикации: 2022-03-03.

Atomic layer etching method

Номер патента: US20210193473A1. Автор: Sang Jun Park,Byung Chul Cho,Jin Sung Chun,Kwang Seon JIN,Jun Hyuck KWON. Владелец: Wonik Ips Co Ltd. Дата публикации: 2021-06-24.

Sputter etch methods

Номер патента: US20040266190A1. Автор: Jae Han. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2004-12-30.

Plasma etching method and plasma etching apparatus

Номер патента: US20150206715A1. Автор: Takayuki Ishii,Ryoichi Yoshida,Ken Kobayashi. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-07-23.

Etching method

Номер патента: US09472736B2. Автор: Toshiyuki Kondo,Atsushi Suzuki,Midori Mori,Koichi Naniwae,Fumihara Teramae. Владелец: EL Seed Corp. Дата публикации: 2016-10-18.

Etching method, method of manufacturing semiconductor device, and semiconductor device

Номер патента: US20050118818A1. Автор: Tomoya Nishida. Владелец: Sony Corp. Дата публикации: 2005-06-02.

Plasma etching method and storage medium

Номер патента: US20120309203A1. Автор: Noriyuki Kobayashi,Naotsugu Hoshi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-12-06.

Semiconductor device and etching method

Номер патента: US20220375763A1. Автор: Akiko Hirata,Masanaga Fukasawa. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2022-11-24.

Semiconductor device and etching method

Номер патента: US20240213086A1. Автор: Akiko Hirata,Masanaga Fukasawa. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2024-06-27.

Etching method and plasma processing apparatus

Номер патента: US11710644B2. Автор: Takahiro Yokoyama,Masanori Hosoya,Hiroie MATSUMOTO,Taihei MATSUHASHI. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-07-25.

Etching method, substrate processing apparatus, and substrate processing system

Номер патента: US20210202260A1. Автор: Masanobu Honda,Shinya Ishikawa,Kenta Ono. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-07-01.

Plasma etching method and method of fabricating semiconductor device

Номер патента: US10529582B2. Автор: Mitsunari Horiuchi. Владелец: Toshiba Memory Corp. Дата публикации: 2020-01-07.

Etching method and plasma processing system

Номер патента: US20230402289A1. Автор: Yoshihide Kihara,Kae Takahashi,Maju TOMURA,Noriyoshi ARIMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-12-14.

Etching method

Номер патента: US6844265B2. Автор: Masahiko Ouchi. Владелец: Elpida Memory Inc. Дата публикации: 2005-01-18.

Etching method, method of manufacturing semiconductor device, and semiconductor device

Номер патента: US7153710B2. Автор: Tomoya Nishida. Владелец: Sony Corp. Дата публикации: 2006-12-26.

Plasma reactor and etching method using the same

Номер патента: US20110155694A1. Автор: Sungyong KO,Hwankook CHAE,Keehyun KIM,Weonmook LEE,Kunjoo PARK,Minshik KIM,KwangMin Lee,Hyeokjin Jang. Владелец: Individual. Дата публикации: 2011-06-30.

Plasma etching method and plasma etching apparatus

Номер патента: US20140073113A1. Автор: Yoichi Nakahara. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-03-13.

Etching method

Номер патента: US20150170932A1. Автор: Kazuhiro Kubota,Masanobu Honda,Fumiya Kobayashi,Hikaru Watanabe,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-06-18.

Plasma etching method and semiconductor device manufacturing method

Номер патента: US20150187588A1. Автор: Takayuki Katsunuma,Kazuhiro Kubota,Masanobu Honda. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-07-02.

Etching method

Номер патента: US20190074190A1. Автор: Masanobu Honda,Yoshihide Kihara,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-03-07.

Etching method of copper-molybdenum film and array substrate

Номер патента: US11756797B2. Автор: Yuan Mei. Владелец: TCL China Star Optoelectronics Technology Co Ltd. Дата публикации: 2023-09-12.

Plasma etching method and storage medium

Номер патента: US8252694B2. Автор: Noriyuki Kobayashi,Naotsugu Hoshi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-08-28.

Plasma etching method and storage medium

Номер патента: US20120244709A1. Автор: Yoshiki Igarashi,Kazuki Narishige. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-09-27.

Plasma etching method and plasma etching apparatus

Номер патента: US20160268140A1. Автор: Shunichi Mikami. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-09-15.

Plasma etching method for semiconductor device and etching apparatus of the same

Номер патента: US20020137340A1. Автор: Kye-Hyun Baek,Kil-Ho Kim. Владелец: Individual. Дата публикации: 2002-09-26.

Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium

Номер патента: US20080190892A1. Автор: Sung Tae Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-08-14.

Chemical etching method using a metal catalyst

Номер патента: US20240203746A1. Автор: Kyung Hwan Kim,Min Young Kim,Hang Lim LEE,Jung Woo OH,Sun Hae CHOI. Владелец: Semes Co Ltd. Дата публикации: 2024-06-20.

Etching method

Номер патента: US12125708B2. Автор: Yu Zhao,Hiroyuki Kobayashi,Takashi Hattori,Hiroto Otake. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-10-22.

Plasma etching method

Номер патента: US09978566B2. Автор: Akihiro Yokota,Shinji Himori,Kazuya Nagaseki,Etsuji Ito,Tatsuro Ohshita,Shu KUSANO. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-22.

Plasma etching method

Номер патента: US09966273B2. Автор: Yoshiki Igarashi,Wataru TAKAYAMA,Sho TOMINAGA. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-08.

Etching method and plasma processing apparatus

Номер патента: US09922806B2. Автор: Yoshinobu Ooya,Ryohei Takeda,Maju TOMURA,Ryuichi TAKASHIMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-03-20.

Plasma etching method

Номер патента: US09793136B2. Автор: Kosei Ueda,Yoshinobu Hayakawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-10-17.

Etching method

Номер патента: US09786512B2. Автор: Daisuke Tamura,Ryuuu ISHITA,Yu NAGATOMO,Kousuke KOIWA. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-10-10.

Etching method

Номер патента: US09779961B2. Автор: Wataru TAKAYAMA,Yuki Kaneko,Sho TOMINAGA,Yusuke Saitoh,Yu NAGATOMO,Hayato Hishinuma. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-10-03.

Etching method for selectively etching silicon oxide with respect to silicon nitride

Номер патента: US09754797B2. Автор: Akihiro Tsuji,Hikaru Watanabe. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-09-05.

Etching method

Номер патента: US09735025B2. Автор: Yuki Kaneko,Masayuki Sawataishi,Tomonori Miwa. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-15.

Etching method

Номер патента: US09735021B2. Автор: Masayuki Sawataishi,Tomonori Miwa. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-15.

Etching method and etching apparatus

Номер патента: US09728418B2. Автор: Hiroshi Tsujimoto,Keigo TOYODA,Masaru ISAGO. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-08.

Etching method and plasma processing apparatus

Номер патента: US20210143017A1. Автор: Takahiro Yokoyama,Yoshihide Kihara,Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-05-13.

Etching method and etching apparatus

Номер патента: US09659789B2. Автор: Yoshinobu Ooya,Ryohei Takeda,Ryuichi TAKASHIMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-23.

Etching method

Номер патента: US09613824B2. Автор: Hironobu Ichikawa,Isao Tafusa,Yusuke Saitoh. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-04-04.

Etching method

Номер патента: US09543164B2. Автор: Ryoichi Yoshida. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-01-10.

Etching method

Номер патента: US09530671B2. Автор: Yoichi Nakahara. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-12-27.

Plasma etching method

Номер патента: US09437450B2. Автор: Tetsuro Kikuchi. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-09-06.

Etching gas and etching method

Номер патента: US09368363B2. Автор: Takefumi Suzuki. Владелец: Zeon Corp. Дата публикации: 2016-06-14.

Etching method

Номер патента: US20210028356A1. Автор: Jun Sato,Kiyoshi Maeda,Ken Ando,Shigeru Tahara,Hiroki Maehara. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-01-28.

Spin etching method for semiconductor wafer

Номер патента: US20090209110A1. Автор: Osamu Nagai,Ayumu Okano. Владелец: Disco Corp. Дата публикации: 2009-08-20.

Etching method and etching apparatus

Номер патента: US20220399204A1. Автор: Nobuhiro Takahashi,Ken NAKAGOMI. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-12-15.

Etching method for semiconductor device

Номер патента: EP1511067A3. Автор: Fujio Masuoka,Takashi Yokoyama,Shinji Horii,Takuji Tanigami. Владелец: Sharp Corp. Дата публикации: 2005-08-31.

Etching method for semiconductor device

Номер патента: US20050037621A1. Автор: Fujio Masuoka,Takashi Yokoyama,Shinji Horii,Takuji Tanigami. Владелец: Sharp Corp. Дата публикации: 2005-02-17.

Polysilicon etching method

Номер патента: US20190385864A1. Автор: Hongkun SONG. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2019-12-19.

Display panel, plasma etching method and system

Номер патента: US20210119128A1. Автор: Pengbin ZHANG. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2021-04-22.

Etching method and plasma processing apparatus

Номер патента: US20240234163A1. Автор: Shoi Suzuki,Taku GOHIRA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-11.

Etching method and plasma processing system

Номер патента: US20230251567A1. Автор: Yoshihide Kihara,Jaeyoung Park,Maju TOMURA,Taiki Miura,Ryutaro Suda,Yusuke FUKUNAGA. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-08-10.

Etching method and plasma processing system

Номер патента: US20230317466A1. Автор: Masahito Yamaguchi,Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-10-05.

Plasma etching method

Номер патента: US20190096689A1. Автор: Go Matsuura. Владелец: Zeon Corp. Дата публикации: 2019-03-28.

Single-wafer etching method for wafer and etching apparatus thereof

Номер патента: MY147183A. Автор: Takeo Katoh,Tomohiro Hashii,Katsuhiko Murayama,Sakae Koyata,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2012-11-14.

Etching method

Номер патента: US20180174859A1. Автор: Jun Zhang,Xufei Xu,Jie Song,Yijun Wang. Владелец: Hefei BOE Optoelectronics Technology Co Ltd. Дата публикации: 2018-06-21.

Etching method using oxygen-containing hydrofluorocarbon

Номер патента: US20240290627A1. Автор: Nicolas Gosset,Tomo Hasegawa,Vladislav GAMALEEV. Владелец: American Air Liquide Inc. Дата публикации: 2024-08-29.

Etching method using oxygen-containing hydrofluorocarbon

Номер патента: US20240290628A1. Автор: Nicolas Gosset,Tomo Hasegawa,Vladislav GAMALEEV. Владелец: American Air Liquide Inc. Дата публикации: 2024-08-29.

Wet etching method for silicon nitride film

Номер патента: US8741168B2. Автор: Hiroshi Tomita,Hisashi Okuchi,Yasuhito Yoshimizu. Владелец: Toshiba Corp. Дата публикации: 2014-06-03.

Etching method and etching apparatus

Номер патента: US11764070B2. Автор: Satoshi TODA,Naoki Shindo,Gen You,Haruna Suzuki. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-19.

Etching method for oxide semiconductor film

Номер патента: US12062548B2. Автор: Tetsuya Tatsumi,Kazuhiro Karahashi,Akiko Hirata,Satoshi Hamaguchi,Masanaga Fukasawa. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2024-08-13.

Plasma etching method and plasma etching apparatus

Номер патента: US20080179283A1. Автор: Hiroyuki SHIBAMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-07-31.

Wet etching method, substrate liquid processing apparatus, and storage medium

Номер патента: US20180012754A1. Автор: Hiromitsu Nanba. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-01-11.

Etching method

Номер патента: US20240297046A1. Автор: Kenji Maeda,Kenetsu Yokogawa,Kenji Ishikawa,Masaru Hori,Kazunori Shinoda,Hirotaka Hamamura,Thi-Thuy-Nga NGUYEN. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-09-05.

Etching method, plasma processing apparatus, and substrate processing system

Номер патента: US20240312771A1. Автор: Noboru Saito,Takahiro Yokoyama,Yusuke Takino. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-09-19.

Plasma Etching Method

Номер патента: US20120052688A1. Автор: Shoichi Murakami,Akimitsu Oishi,Masayasu Hatashita. Владелец: Sumitomo Precision Products Co Ltd. Дата публикации: 2012-03-01.

Wet etching method and wet etching system

Номер патента: US12119230B2. Автор: Takashi Ono,Kazuma Sekiya,Daigo Shitabo. Владелец: Disco Corp. Дата публикации: 2024-10-15.

Etching method and plasma processing apparatus

Номер патента: US20240355589A1. Автор: Yoshihide Kihara,Maju TOMURA,Koki MUKAIYAMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-10-24.

Etching method

Номер патента: US09997374B2. Автор: Yoshinobu Ooya,Ryohei Takeda,Sho TOMINAGA. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-06-12.

Etching method using hydrogen peroxide solution containing tungsten

Номер патента: US09929017B2. Автор: Yoshihiro Uozumi,Nagisa Takami. Владелец: Toshiba Memory Corp. Дата публикации: 2018-03-27.

Plasma etching method and plasma etching apparatus

Номер патента: US09887109B2. Автор: Kazuhiro Kubota,Masanobu Honda,Masaya Kawamata. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-02-06.

Plasma etching method

Номер патента: US09779962B2. Автор: Shinichi Kozuka,Takao FUNAKUBO,Yuta Seya,Aritoshi Mitani. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-10-03.

Etching method for substrate to be processed and plasma-etching device

Номер патента: US09721803B2. Автор: Hiroyuki Takaba. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-01.

Etching method

Номер патента: US09691630B2. Автор: Nobuhiro Takahashi,Shuji Moriya,Masashi Matsumoto,Tetsuro Takahashi,Junichiro Matsunaga. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-06-27.

Etching method

Номер патента: US09666446B2. Автор: Yoshiki Igarashi,Wataru TAKAYAMA,Sho TOMINAGA. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-30.

Etching method and etching apparatus

Номер патента: US12142495B2. Автор: Nobuhiro Takahashi,Toshiki KANAKI,Megumi UMEMOTO. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-11-12.

Etching method and storage medium

Номер патента: US09607855B2. Автор: Nobuhiro Takahashi,Koji Takeya,Masashi Matsumoto,Junichiro Matsunaga,Ayano Hagiwara. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-03-28.

Plasma etching method of modulating high frequency bias power to processing target object

Номер патента: US09548214B2. Автор: Fumio Yamazaki. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-01-17.

Etching method of multilayered film

Номер патента: US09536707B2. Автор: Yusuke Saitoh,Ryuuu ISHITA. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-01-03.

Plasma etching method and plasma etching apparatus

Номер патента: US09530666B2. Автор: Hideki Mizuno,Kumiko Yamazaki. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-12-27.

Plasma etching method and plasma etching apparatus

Номер патента: US09524876B2. Автор: Masanobu Honda,Toru Hisamatsu. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-12-20.

Etching method, and recording medium

Номер патента: US09466507B2. Автор: Shigeki Tozawa,Tomoaki OGIWARA. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-10-11.

Plasma etching method and plasma etching apparatus

Номер патента: US09460897B2. Автор: Takayuki Katsunuma. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-10-04.

Plasma etching method

Номер патента: US09449842B2. Автор: Takahiro Abe,Takeshi Shimada,Masato Ishimaru,Makoto Suyama. Владелец: Hitachi High Technologies Corp. Дата публикации: 2016-09-20.

Etching method for reducing microloading effect

Номер патента: US09443741B1. Автор: Po-Wen Su,Shui-Yen Lu,Zhi-Jian Wang,Cheng-Chang Wu,Hsin-Yu Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-13.

Etching method and substrate processing apparatus

Номер патента: US09419211B2. Автор: Takashi Sone,Eiichi Nishimura,Fumiko Yamashita,Masato Kushibiki,Nao Koizumi. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-08-16.

Plasma etching method

Номер патента: US09412607B2. Автор: Eiji Suzuki,Yuji Otsuka,Yutaka Osada,Akinori Kitamura,Masayuki Kohno,Hiroto Ohtake,Yusuke Takino,Tomiko Kamada. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-08-09.

Etching method and etching apparatus

Номер патента: US09396968B2. Автор: Kazuhiro Kubota. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-07-19.

Plasma etching method and storage medium

Номер патента: US09384999B2. Автор: Noriyuki Kobayashi,Naotsugu Hoshi. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-07-05.

Etching method using halogen fluoride and method for producing semiconductor

Номер патента: US20220051898A1. Автор: Atsushi Suzuki. Владелец: Showa Denko KK. Дата публикации: 2022-02-17.

Etching method and semiconductor manufacturing method

Номер патента: US11114305B2. Автор: Yosuke TANIMOTO. Владелец: Showa Denko KK. Дата публикации: 2021-09-07.

Etching method and substrate processing apparatus

Номер патента: US11810792B2. Автор: Masatsugu Makabe,Takanori Eto,Sho SAITOH. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-11-07.

Etching method and method for producing semiconductor device

Номер патента: US20230395389A1. Автор: Kazuma Matsui,Yosuke TANIMOTO,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2023-12-07.

Etching method and semiconductor manufacturing method

Номер патента: US20210217627A1. Автор: Yosuke TANIMOTO. Владелец: Showa Denko KK. Дата публикации: 2021-07-15.

Etching method

Номер патента: US20240030037A1. Автор: Yutaro Aoki,Atsushi Yamashita,Masayuki Kimura. Владелец: Adeka Corp. Дата публикации: 2024-01-25.

Bevel etching method

Номер патента: US20230207327A1. Автор: Sheng-hui Yang. Владелец: Nanya Technology Corp. Дата публикации: 2023-06-29.

Etching method and apparatus

Номер патента: US20200312669A1. Автор: Tatsuya Yamaguchi,Nobuhiro Takahashi,Yasuo Asada,Ayano Hagiwara. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-10-01.

Etching method

Номер патента: US20210175089A1. Автор: Masaki Inaba,Kazuki Nishihara. Владелец: Screen Holdings Co Ltd. Дата публикации: 2021-06-10.

Atomic layer etching method

Номер патента: US11450531B2. Автор: Sang Jun Park,Byung Chul Cho,Jin Sung Chun,Kwang Seon JIN,Jun Hyuck KWON. Владелец: Wonik Ips Co Ltd. Дата публикации: 2022-09-20.

Etching method and photosensitive resin composition

Номер патента: EP3961676A1. Автор: Teruhiro Uematsu. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2022-03-02.

Etching method for silicon nitride and production method for semiconductor element

Номер патента: US12014929B2. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2024-06-18.

Dual-tank etch method for oxide thickness control

Номер патента: US7405165B2. Автор: Yang Kai Fan,Yong Rong Chang,Yi Song Chiu,Ping Yin Shin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-07-29.

Etching method and etching apparatus

Номер патента: US20230386793A1. Автор: Kenji Maeda,Yosuke Kurosaki,Hiroto Otake. Владелец: Hitachi High Tech Corp. Дата публикации: 2023-11-30.

Etching method, substrate processing apparatus, and substrate processing system

Номер патента: US11810791B2. Автор: Maju TOMURA,Hironari SASAGAWA. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-11-07.

Etching method and etching apparatus

Номер патента: US11139169B2. Автор: Sho Kumakura,Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-10-05.

Etching method of etching apparatus

Номер патента: US20220359173A1. Автор: Shih-Chieh Lin,Shuen-Hsiang Ke. Владелец: Winbond Electronics Corp. Дата публикации: 2022-11-10.

Etching method

Номер патента: US20180301346A1. Автор: Masahiro Tabata,Sho Kumakura. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-10-18.

Etching method and etching apparatus

Номер патента: US11791175B2. Автор: Takayuki Suga,Jun Lin,Yoshiki Igarashi,Satoru KIKUSHIMA,Chengya CHU. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-10-17.

Etching method and element chip manufacturing method

Номер патента: US11817323B2. Автор: Akihiro Itou,Shogo Okita,Atsushi Harikai. Владелец: Panasonic Intellectual Property Management Co Ltd. Дата публикации: 2023-11-14.

Plasma etching method

Номер патента: US8741166B2. Автор: Tomoyuki Watanabe,Tetsuo Ono,Mamoru Yakushiji,Michikazu Morimoto. Владелец: Hitachi High Technologies Corp. Дата публикации: 2014-06-03.

Plasma etching method and plasma processing apparatus

Номер патента: US20130267094A1. Автор: Takayuki Katsunuma,Masanobu Honda,Hironobu Ichikawa,Jin KUDO. Владелец: Tokyo Electron Ltd. Дата публикации: 2013-10-10.

Etching method

Номер патента: US20200168469A1. Автор: Masanobu Honda,Yoshihide Kihara,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-05-28.

Etching method

Номер патента: WO2023209982A1. Автор: Kenji Ishikawa,Masaru Hori,Kazunori Shinoda,Hirotaka Hamamura,Thi-Thuy-Nga NGUYEN. Владелец: Hitachi High-Tech Corporation. Дата публикации: 2023-11-02.

Etching method and etching apparatus

Номер патента: US20230395400A1. Автор: Takayuki Suga,Jun Lin,Yoshiki Igarashi,Satoru KIKUSHIMA,Chengya CHU. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-12-07.

Plasma etching method

Номер патента: US20180240690A1. Автор: Kenta Chito. Владелец: Disco Corp. Дата публикации: 2018-08-23.

Etching method and substrate processing system

Номер патента: US11784054B2. Автор: Nobuhiro Takahashi,Yasuo Asada,Kazuhito Miyata. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-10-10.

Etching apparatus and etching method using the same

Номер патента: US20230386788A1. Автор: Jiwon Jung,Chin-Wook Chung. Владелец: Industry University Cooperation Foundation IUCF HYU. Дата публикации: 2023-11-30.

Etching method and plasma processing system

Номер патента: US20230377850A1. Автор: Masahito Yamaguchi,Takatoshi ORUI,Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-11-23.

Etching method and plasma processing apparatus

Номер патента: US20240071723A1. Автор: Koki Tanaka,Masahiko Yokoi,Ryutaro Suda,Ryu NAGAI,Ikko Tanaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-29.

Etching method and method for producing semiconductor element

Номер патента: EP4235752A1. Автор: Kazuma Matsui,Yosuke TANIMOTO,Jumpei Iwasaki. Владелец: Resonac Corp. Дата публикации: 2023-08-30.

Etching method and etching apparatus

Номер патента: US20200402800A1. Автор: Sho Kumakura,Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-12-24.

Plasma etching method and plasma etching apparatus

Номер патента: US20210118690A1. Автор: Shuichi Kuboi,Seiya YOSHINAGA. Владелец: Kioxia Corp. Дата публикации: 2021-04-22.

Etching method and plasma processing apparatus

Номер патента: US20220102159A1. Автор: Takahiro Yokoyama,Masanori Hosoya,Hiroie MATSUMOTO,Taihei MATSUHASHI. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-03-31.

Etching method and semiconductor manufacturing method

Номер патента: EP3706158A1. Автор: Yosuke TANIMOTO. Владелец: Showa Denko KK. Дата публикации: 2020-09-09.

Etching method and plasma processing apparatus

Номер патента: US20230298898A1. Автор: Shingo Takahashi,Shogo Yamaya. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-21.

Etching method and plasma processing system

Номер патента: US20240112922A1. Автор: Atsushi Takahashi,Noboru Saito,Ryo Matsubara,Yuta NAKANE. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-04-04.

Plasma etching method

Номер патента: EP3989682A1. Автор: Daisuke Sato,Yuki Oka,Kaoru Kaibuki. Владелец: Showa Denko KK. Дата публикации: 2022-04-27.

Plasma etching method and method of fabricating semiconductor device

Номер патента: US20190080923A1. Автор: Mitsunari Horiuchi. Владелец: Toshiba Memory Corp. Дата публикации: 2019-03-14.

Etching method and etching apparatus

Номер патента: US8283254B2. Автор: Takahito Mukawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-10-09.

Electrochemical etching method

Номер патента: US5167778A. Автор: Hiroyuki Kaneko,Makoto Uchiyama,Hidetoshi Nojiri,Norihiko Kiritani. Владелец: Nissan Motor Co Ltd. Дата публикации: 1992-12-01.

Plasma etching method

Номер патента: US20100264116A1. Автор: Tatsuya Sugimoto,Masahiro Nakamura,Takefumi Suzuki. Владелец: Zeon Corp. Дата публикации: 2010-10-21.

Plasma etching method and plasma etching system for carrying out the same

Номер патента: US6159388A. Автор: Shinya Iida,Yasuhiro Horiike,Michihiko Yanagisawa. Владелец: SpeedFam Co Ltd. Дата публикации: 2000-12-12.

Etching method

Номер патента: US20230197458A1. Автор: Takahiro Yokoyama,Yoshihide Kihara,Takatoshi ORUI,Maju TOMURA,Ryutaro Suda. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-06-22.

Etching method, air-gap dielectric layer, and dynamic random-access memory

Номер патента: US11948805B2. Автор: Chun Wang,Bo Zheng,Xin Wu,Zhenguo MA. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2024-04-02.

Etching method and etching apparatus

Номер патента: US20240038494A1. Автор: Nobuyuki Fukui,Maju TOMURA,Ryutaro Suda. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-01.

Etching method

Номер патента: US20240047222A1. Автор: Kenji Maeda,Kenetsu Yokogawa,Kenji Ishikawa,Masaru Hori,Kazunori Shinoda,Hirotaka Hamamura. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-02-08.

Plasma etching method and plasma etching apparatus

Номер патента: US20150332929A1. Автор: Masanobu Honda,Toru Hisamatsu. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-11-19.

Etching method and plasma processing apparatus

Номер патента: US11842900B2. Автор: Ryuichi Asako. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-12-12.

Etching method and plasma etching apparatus

Номер патента: US11637020B2. Автор: Koki Tanaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-04-25.

Etching method and plasma etching apparatus

Номер патента: US20220051902A1. Автор: Koki Tanaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-02-17.

Etching Method, and Recording Medium

Номер патента: US20160163562A1. Автор: Shigeki Tozawa,Tomoaki OGIWARA. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-06-09.

Etching method of multilayered film

Номер патента: US20160042919A1. Автор: Yusuke Saitoh,Ryuuu ISHITA. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-02-11.

Etching method and etching apparatus

Номер патента: US20190304801A1. Автор: Susumu Yamauchi,Jun Lin. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-10-03.

Etching method and etching apparatus

Номер патента: US20210159084A1. Автор: Yoshihide Kihara,Sho Kumakura,Maju TOMURA,Hironari SASAGAWA. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-05-27.

Etching method and etching apparatus

Номер патента: US11380555B2. Автор: Yoshihide Kihara,Sho Kumakura,Maju TOMURA,Hironari SASAGAWA. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-07-05.

Etching method of multilayer film

Номер патента: US20140206199A1. Автор: Hiroaki Ishizuka,Akihiro Yokota,Shinji Himori,Kazuya Nagaseki,Etsuji Ito,Shu KUSANO. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-07-24.

Etching method and etching apparatus

Номер патента: US20210090898A1. Автор: Satoshi TODA,Naoki Shindo,Ryo Kuwajima. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-03-25.

Etching method and plasma processing apparatus

Номер патента: US20230377851A1. Автор: Kenji Komatsu,Fumiya Yoshii. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-11-23.

Etching method and plasma processing apparatus

Номер патента: US20220059360A1. Автор: Taku GOHIRA,Michiko Nakaya. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-02-24.

Etching method and plasma processing apparatus

Номер патента: US11804379B2. Автор: Taku GOHIRA,Michiko Nakaya. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-10-31.

Etching method and etching apparatus

Номер патента: US20240006187A1. Автор: Hiroyuki Abe,Reiko SASAHARA,Teppei Okumura,Seungmin Kim,Toshinori Debari,Woonghyun JEUNG,Kenshiro ASAHI. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-01-04.

Etching method and etching apparatus

Номер патента: US20210082709A1. Автор: Masanobu Honda,Yoshihide Kihara,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-03-18.

Plasma etching method and plasma etching apparatus

Номер патента: US20190006186A1. Автор: Hiroki Sato,Hisashi Hirose. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-01-03.

Etching method and plasma processing apparatus

Номер патента: US20240112927A1. Автор: Fumiya TAKATA,Kota Oikawa,Wataru Togashi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-04-04.

Etching method and plasma processing apparatus

Номер патента: US20210305057A1. Автор: Shinya Morikita,Toshikatsu Tobana,Fumiya TAKATA,Kota ISHIHARADA. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-09-30.

Etching method and plasma processing apparatus

Номер патента: US20240162045A9. Автор: Shota Yoshimura,Shinya Morikita,Fumiya TAKATA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-05-16.

Etching method and etching apparatus

Номер патента: US20230290653A1. Автор: Masaki Inaba,Yuya Akanishi,Kazuki Nishihara. Владелец: Screen Holdings Co Ltd. Дата публикации: 2023-09-14.

Etching method

Номер патента: US20240063026A1. Автор: Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-22.

Etching method for semiconductor product

Номер патента: US20150140690A1. Автор: Shigetoshi Sugawa,Tatsuro Yoshida,Takeshi Sakai,Kazuhiro Yoshikawa. Владелец: Tohoku University NUC. Дата публикации: 2015-05-21.

Etching method and etching apparatus

Номер патента: US11495468B2. Автор: Masanobu Honda,Takahiro Yokoyama,Yoshihide Kihara,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-11-08.

Etching method and plasma processing apparatus

Номер патента: US20240038501A1. Автор: Akira Nakagawa,Kenji Komatsu,Tsukasa Hirayama,Kazuma KAMIMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-01.

Etching method and plasma processing apparatus

Номер патента: US20240030010A1. Автор: Yoshihide Kihara,Maju TOMURA,Koki MUKAIYAMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-01-25.

Etching Method and Apparatus

Номер патента: US20130072013A1. Автор: Ying Zhang,Chien-An Chen,Shih-Hung Chen,Ying Xiao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-03-21.

Etching method and plasma processing apparatus

Номер патента: US20210233776A1. Автор: Masaaki Kikuchi,Wakako Ishida,Yasunori Hatamura,Wataru Togashi. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-07-29.

Etching method and etching apparatus

Номер патента: US20210066089A1. Автор: Masanobu Honda,Takahiro Yokoyama,Yoshihide Kihara,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-03-04.

Etching method and plasma processing apparatus

Номер патента: US20240153744A1. Автор: Atsushi Takahashi,Ryo Matsubara,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-05-09.

Metal oxide wet etching method

Номер патента: AU2022358422A1. Автор: George Kovall,Colleen Shang FENRICH. Владелец: Psiquantum Corp. Дата публикации: 2024-04-18.

Etching method for fabricating semiconductor device structure

Номер патента: US20230418259A1. Автор: Tzu-Ching Tsai. Владелец: Nanya Technology Corp. Дата публикации: 2023-12-28.

Etching method and etching apparatus

Номер патента: US20190237332A1. Автор: KATSUNORI Tanaka,Hotaka Maruyama. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-08-01.

Etching method and etching apparatus

Номер патента: US20180342401A1. Автор: Kosuke Koiwa. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-11-29.

Display panel, plasma etching method and system

Номер патента: US11189797B2. Автор: Pengbin ZHANG. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2021-11-30.

Local etching apparatus and local etching method

Номер патента: US20010036741A1. Автор: Michihiko Yanagisawa,Chikai Tanaka. Владелец: Individual. Дата публикации: 2001-11-01.

Etching method and plasma processing apparatus

Номер патента: US20240213031A1. Автор: Yoshimitsu Kon,Atsuki Hashimoto,Sho SAITOH. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-27.

Etching method and etching apparatus

Номер патента: US20230290644A1. Автор: Yuya Akanishi,Kazuki Nishihara. Владелец: Screen Holdings Co Ltd. Дата публикации: 2023-09-14.

Etching method and plasma processing apparatus

Номер патента: US12014930B2. Автор: Masanori Hosoya,Mitsuhiro Iwano. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-18.

Semiconductor Etching Method

Номер патента: US20220037161A1. Автор: Lei Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-02-03.

Etching method and etching apparatus

Номер патента: US20200234963A1. Автор: Sho Kumakura,Ryutaro Suda. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-07-23.

Dry etching method

Номер патента: US20060108323A1. Автор: Shuichi Okawa. Владелец: TDK Corp. Дата публикации: 2006-05-25.

Dry etching methods for reducing fluorocarbon-containing gas emissions

Номер патента: US20240212988A1. Автор: Chun-Chieh Wang,Tzu-Ming Ou Yang,Yuan-Hao Su. Владелец: Winbond Electronics Corp. Дата публикации: 2024-06-27.

Method for manufacturing touch screen panels using a dry etching apparatus

Номер патента: US09552122B2. Автор: Bong-Sub Song,Soung-Chang Ku. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-01-24.

Non-uniform gas inlet for dry etching apparatus

Номер патента: US4780169A. Автор: Mark M. Stark,Douglas H. Warenback,David J. Drage. Владелец: CollabRx Inc. Дата публикации: 1988-10-25.

Ceramic structure, lower electrode, and dry etching machine

Номер патента: US20210335575A1. Автор: YI Meng. Владелец: Chongqing HKC Optoelectronics Technology Co Ltd. Дата публикации: 2021-10-28.

Ion beam etching method and ion beam etching apparatus

Номер патента: US09966092B2. Автор: Kiyotaka Sakamoto,Yasushi Kamiya,Hiroshi Akasaka. Владелец: Canon Anelva Corp. Дата публикации: 2018-05-08.

Etching method and etching apparatus

Номер патента: US20240203694A1. Автор: Masahiro Yamamoto,Masaki Hosono,Kyohei Noguchi,Takuji Sako,Julen AROZAMENA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-20.

Plasma etching method

Номер патента: US09960014B2. Автор: Masatoshi Miyake,Nobuyuki Negishi,Ken'etsu Yokogawa,Naoyuki Kofuji,Masami Kamibayashi. Владелец: Hitachi High Technologies Corp. Дата публикации: 2018-05-01.

Etching method and plasma processing apparatus

Номер патента: US20240212982A1. Автор: Wakako Ishida,Taihei MATSUHASHI. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-27.

Plasma etching method

Номер патента: US20170084430A1. Автор: Masatoshi Miyake,Nobuyuki Negishi,Ken'etsu Yokogawa,Naoyuki Kofuji,Masami Kamibayashi. Владелец: Hitachi High Technologies Corp. Дата публикации: 2017-03-23.

Etching method and plasma processing apparatus

Номер патента: US20240203698A1. Автор: Yoshihide Kihara,Ryo Matsubara,Maju TOMURA,Satoshi Ohuchida,Koki MUKAIYAMA,Takuto Kikuchi. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-20.

Dry etch method for texturing silicon and device

Номер патента: US20160351734A1. Автор: Talia S. Gershon,Yun Seog Lee,Jeehwan Kim,Richard A. Haight. Владелец: International Business Machines Corp. Дата публикации: 2016-12-01.

Dry etching method and method for manufacturing device

Номер патента: WO2012161026A1. Автор: 高橋 秀治. Владелец: 富士フイルム株式会社. Дата публикации: 2012-11-29.

Dry etching method and device manufacturing method

Номер патента: US20140076842A1. Автор: Shuji Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2014-03-20.

Dry etching method and device manufacturing method

Номер патента: JP5766027B2. Автор: 高橋 秀治,秀治 高橋. Владелец: Fujifilm Corp. Дата публикации: 2015-08-19.

Oxide semiconductor film dry etching method

Номер патента: JP5028033B2. Автор: 建六 張. Владелец: Canon Inc. Дата публикации: 2012-09-19.

Dry etching method of surface texture formation on silicon wafer

Номер патента: EP2635513A4. Автор: Young Kyu Cho. Владелец: Intevac Inc. Дата публикации: 2014-04-16.

Dry etching method

Номер патента: TWI490943B. Автор: Kazuhiro Watanabe,Manabu Yoshii. Владелец: Ulvac Inc. Дата публикации: 2015-07-01.

Dry etching method of film containing silicon

Номер патента: KR101153679B1. Автор: 히사오 도사카. Владелец: 가시오게산키 가부시키가이샤. Дата публикации: 2012-06-18.

Dry etching methods

Номер патента: US7368396B2. Автор: Girish S. Patil,Karthik Vaideeswaran,James M. Mrvos. Владелец: Lexmark International Inc. Дата публикации: 2008-05-06.

Dry etch method for texturing silicon and device

Номер патента: US9716195B2. Автор: Talia S. Gershon,Yun Seog Lee,Jeehwan Kim,Richard A. Haight. Владелец: International Business Machines Corp. Дата публикации: 2017-07-25.

Dry etching methods

Номер патента: US20070004215A1. Автор: Girish Patil,James Mrvos. Владелец: Lexmark International Inc. Дата публикации: 2007-01-04.

Selective uv-ozone dry etching of anti-stiction coatings for mems device fabrication

Номер патента: WO2010147796A3. Автор: Mehmet Hancer. Владелец: ANALOG DEVICES, INC.. Дата публикации: 2011-04-14.

Plasma etching method

Номер патента: US8293127B1. Автор: Joseph F. Rypl. Владелец: Lockheed Martin Corp. Дата публикации: 2012-10-23.

Copper etching method for manufacturing circuit board

Номер патента: US20160262269A1. Автор: Ting-Hao Lin,Chiao-Cheng Chang,yi-nong Lin. Владелец: Kinsus Interconnect Technology Corp. Дата публикации: 2016-09-08.

Ink jet etching method and ink jet printing system

Номер патента: US09574301B2. Автор: Kazuhiko Kitamura. Владелец: Seiko Epson Corp. Дата публикации: 2017-02-21.

Etching method and method of manufacturing liquid discharge head substrate

Номер патента: US20160039206A1. Автор: Yuzuru Ishida,Takashi Usui,Toshiyasu Sakai,Hisanori Hosaka. Владелец: Canon Inc. Дата публикации: 2016-02-11.

Etching method

Номер патента: US9332647B2. Автор: Norikazu Nakamura. Владелец: MIMAKI ENGINEERING CO LTD. Дата публикации: 2016-05-03.

Etching method

Номер патента: US20150305165A1. Автор: Norikazu Nakamura. Владелец: MIMAKI ENGINEERING CO LTD. Дата публикации: 2015-10-22.

Dry etching method, fine structure formation method, mold and mold fabrication method

Номер патента: US7919005B2. Автор: Tomoyasu Murakami,Masaru Sasago,Hideo Nakagawa. Владелец: Panasonic Corp. Дата публикации: 2011-04-05.

Dry etching method, and dry etching agent and storage container therefor

Номер патента: SG11202107622UA. Автор: Shinya Ikeda,Hiroyuki Oomori,Tatsunori Kamida. Владелец: Central Glass Co Ltd. Дата публикации: 2021-08-30.

Dry etching agent and dry etching method

Номер патента: TW201217500A. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2012-05-01.

Method of plasma etching thin films of difficult to dry etch materials

Номер патента: WO2001020655A1. Автор: Martin Gutsche,Satish D. Athavale. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-03-22.

Dry etchant and dry etching method

Номер патента: TWI444456B. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2014-07-11.

Post-dry etching photoresist and metal containing residue removal formulation

Номер патента: EP4388069A1. Автор: Wen Dar Liu,Yi-Chia Lee,Aiping Wu,Chung-Yi Chang,Jhih-Kuei Ge. Владелец: Versum Materials US LLC. Дата публикации: 2024-06-26.

Etching amount measurement apparatus for dry etching apparatus

Номер патента: US09612205B2. Автор: Munenori Iwami,Ganachev IvanPetrov. Владелец: Shibaura Mechatronics Corp. Дата публикации: 2017-04-04.

Dry Etching Agent, Dry Etching Method and Method for Producing Semiconductor Device

Номер патента: US20190345385A1. Автор: Yao Akifumi,Kashiwaba Takashi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2019-11-14.

Dry Etching Gas and Dry Etching Method

Номер патента: US20180066187A1. Автор: Yao Akifumi,FUJIWARA Masaki,NAKAMURA Yosuke,OOMORI Hiroyuki. Владелец: . Дата публикации: 2018-03-08.

GAS COMPOSITION FOR DRY ETCHING AND DRY ETCHING METHOD

Номер патента: US20180108537A1. Автор: TAKAHASHI Yoshinao,KATO Korehito,FUKASAWA Tetsuya,IKETANI Yoshihiko. Владелец: . Дата публикации: 2018-04-19.

Dry Etching Agent and Dry Etching Method Using the Same

Номер патента: US20140242803A1. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2014-08-28.

DRY ETCHING GAS COMPOSITION AND DRY ETCHING METHOD

Номер патента: US20200234962A1. Автор: KATO Korehito,IKETANI Yoshihiko,SHIMIZU Hisashi,SHIBUSAWA Yukinobu. Владелец: . Дата публикации: 2020-07-23.

Dry Etching Agent Composition and Dry Etching Method

Номер патента: US20190287812A1. Автор: Mori Isamu,Yao Akifumi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2019-09-19.

Dry etching gas and dry etching method

Номер патента: TW201700443A. Автор: Akifumi YAO,Masaki Fujiwara,Yosuke Nakamura,Hiroyuki Oomori. Владелец: Central Glass Co Ltd. Дата публикации: 2017-01-01.

Gas composition for dry etching and dry etching method

Номер патента: US10431472B2. Автор: Yoshinao Takahashi,Korehito Kato,Tetsuya FUKASAWA,Yoshihiko IKETANI. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2019-10-01.

Dry etching apparatus and dry etching method

Номер патента: TW200903632A. Автор: Toshio Hayashi,Yasuhiro Morikawa,Kou-Kou Suu. Владелец: Ulvac Inc. Дата публикации: 2009-01-16.

Dry etching agent and dry etching method

Номер патента: US9017571B2. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2015-04-28.

Dry etching agent and dry etching method using the same

Номер патента: TW201137088A. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2011-11-01.

Gas composition for dry etching and dry etching method

Номер патента: US20190057878A1. Автор: Yoshinao Takahashi,Korehito Kato,Tetsuya FUKASAWA,Yoshihiko IKETANI. Владелец: Kanto Denka Kogyo Co Ltd. Дата публикации: 2019-02-21.

DRY ETCHING GAS COMPOSITION COMPRISING SULFUR-CONTAINING FLUOROCARBON COMPOUND AND DRY ETCHING METHOD USING THE SAME

Номер патента: US20220135882A1. Автор: KATO Korehito,SHIMIZU Hisashi. Владелец: . Дата публикации: 2022-05-05.

Dry-etching method and plasma

Номер патента: US4948461A. Автор: Dilip K. Chatterjee. Владелец: Eastman Kodak Co. Дата публикации: 1990-08-14.

Dry etching apparatus and dry etching method

Номер патента: JPWO2008140012A1. Автор: 俊雄 林,泰宏 森川,紅コウ 鄒,森川 泰宏,鄒 紅コウ,林 俊雄. Владелец: Ulvac Inc. Дата публикации: 2010-08-05.

Dry etching gas and dry etching method

Номер патента: WO2016163184A1. Автор: 昌生 藤原,章史 八尾,陽介 中村,啓之 大森. Владелец: セントラル硝子株式会社. Дата публикации: 2016-10-13.

Dry etching composition and dry etching method

Номер патента: JP6323540B1. Автор: 勇 毛利,章史 八尾,啓之 大森. Владелец: Central Glass Co Ltd. Дата публикации: 2018-05-16.

Dry etching agent and dry etching method

Номер патента: EP2595179A1. Автор: Isamu Mori,Yasuo Hibino,Satoru Okamoto,Tomonori Umezaki,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2013-05-22.

Dry etching method

Номер патента: TW527441B. Автор: Teiichi Kimura,Yoshihiro Yanagi,Kiyohiko Takagi. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2003-04-11.

Method of plasma etching thin films of difficult to dry etch materials

Номер патента: TW478065B. Автор: Martin Gutsche,Satish Athavale. Владелец: Infineon Technologies Corp. Дата публикации: 2002-03-01.

Highly heat-resistant plasma etching electrode and dry etching device including the same

Номер патента: TW552638B. Автор: Akira Yamaguchi,Shuji Tomita. Владелец: Nisshin Spinning. Дата публикации: 2003-09-11.

Dry etching process using plasma

Номер патента: IE48784B1. Автор: . Владелец: Western Electric Co. Дата публикации: 1985-05-15.

Dry etching composition and dry etching composition-filled container

Номер патента: US20190055469A1. Автор: Munehiro HYAKUTAKE. Владелец: Zeon Corp. Дата публикации: 2019-02-21.

Dry-etching process, dry-etching gas and process for producing perfluoro-2-pentyne

Номер патента: TWI288442B. Автор: Toshiro Yamada,Tatsuya Sugimoto. Владелец: Zeon Corp. Дата публикации: 2007-10-11.

Dry etch back substrate interconnections

Номер патента: US20220108918A1. Автор: Kuiwon Kang,Joan Rey Villarba Buot,Jialing Tong. Владелец: Qualcomm Inc. Дата публикации: 2022-04-07.

Dry-etching composition and container filled with dry-etching composition

Номер патента: EP3432347A4. Автор: Munehiro HYAKUTAKE. Владелец: Zeon Corp. Дата публикации: 2019-11-06.

Dry etching apparatus, etching method, and method of forming a wiring

Номер патента: US20060048894A1. Автор: Shunpei Yamazaki,Hideomi Suzawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2006-03-09.

Dry-etching process, dry-etching gas and process for producing perfluoro-2-pentyne

Номер патента: TW200405461A. Автор: Toshiro Yamada,Tatsuya Sugimoto. Владелец: Zeon Corp. Дата публикации: 2004-04-01.

Method of dry etching copper thin film and semiconductor device

Номер патента: US11791165B2. Автор: Cheewon CHUNG,Jaesang CHOI. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-10-17.

Metal removal method, dry etching method, and production method for semiconductor element

Номер патента: EP3971322A1. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2022-03-23.

Dry etch of phosphosilicate glass with selectivity to undoped oxide

Номер патента: US4807016A. Автор: Monte A. Douglas. Владелец: Texas Instruments Inc. Дата публикации: 1989-02-21.

Dry etching using plasma

Номер патента: AU4923579A. Автор: Cyril Joseph Mogab. Владелец: Western Electric Co Inc. Дата публикации: 1980-02-07.

Dry Etching Method, Semiconductor Device Manufacturing Method, and Chamber Cleaning Method

Номер патента: US20190355590A1. Автор: Akifumi YAO,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2019-11-21.

Metal removal method, dry etching method, and production method for semiconductor element

Номер патента: EP3971322A4. Автор: Kazuma Matsui. Владелец: Showa Denko KK. Дата публикации: 2022-07-27.

Gases for plasma reaction, method of dry etching, and film-forming method of fluorocarbon film

Номер патента: TW200839031A. Автор: Tatsuya Sugimoto,Masahiro Nakamura. Владелец: Zeon Corp. Дата публикации: 2008-10-01.

Dry etching using electrostatic chucking device

Номер патента: IE812268L. Автор: . Владелец: Fujitsu Ltd. Дата публикации: 1982-03-30.

Method and apparatus for dry etching and electrostatic chucking device used therein

Номер патента: DE3171924D1. Автор: Naomichi Abe. Владелец: Fujitsu Ltd. Дата публикации: 1985-09-26.

Dry etching process using plasma

Номер патента: GB2026396A. Автор: . Владелец: Western Electric Co Inc. Дата публикации: 1980-02-06.

Method for dry-etching lithium niobate

Номер патента: EP4290556A4. Автор: Jian Liu,Kaidong Xu,Dongchen CHE,Taiyan PENG,Yingxiong FENG,Haicheng DAI. Владелец: Jiangsu Leuven Instruments Co Ltd. Дата публикации: 2024-08-14.

Method of dry-etching a multi-layer film material

Номер патента: TW200425330A. Автор: Kenji Kawai. Владелец: Renesas Tech Corp. Дата публикации: 2004-11-16.

Method of dry-etching a multi-layer film material

Номер патента: TWI245342B. Автор: Kenji Kawai. Владелец: Renesas Tech Corp. Дата публикации: 2005-12-11.

Dry etching method and method for producing semiconductor device

Номер патента: TW202100805A. Автор: 古谷俊太,大森啓之. Владелец: 日商中央硝子股份有限公司. Дата публикации: 2021-01-01.

Process for low temperature, dry etching, and dry planarization of copper

Номер патента: WO2003038153A8. Автор: Nagraj Kulkarni. Владелец: Nagraj Kulkarni. Дата публикации: 2003-10-30.

Method for supplying composition, and dry etching method

Номер патента: CN115461843A. Автор: 大森启之,八尾章史,谷口敬寿. Владелец: Central Glass Co Ltd. Дата публикации: 2022-12-09.

Method for supplying composition, composition and dry etching method

Номер патента: US20230167361A1. Автор: Akifumi YAO,Hiroyuki Oomori,Takahisa Taniguchi. Владелец: Central Glass Co Ltd. Дата публикации: 2023-06-01.

DRY ETCHING METHOD

Номер патента: US20220172956A1. Автор: Yao Akifumi,SUZUKI Shoi. Владелец: . Дата публикации: 2022-06-02.

Dry Etching Method and Beta-Diketone-Filled Container

Номер патента: US20190348307A1. Автор: Akifumi YAO,Takashi Masuda,Kunihiro Yamauchi. Владелец: Central Glass Co Ltd. Дата публикации: 2019-11-14.

Dry Etching Method

Номер патента: US20200365411A1. Автор: Yao Akifumi,SUZUKI Shoi. Владелец: . Дата публикации: 2020-11-19.

Dry etching method of iridium electrode

Номер патента: KR100338808B1. Автор: 정지원. Владелец: 윤종용. Дата публикации: 2002-05-31.

Dry etching method

Номер патента: JPS6065533A. Автор: Tatsumi Mizutani,Kazunori Tsujimoto,和典 辻本,Sadayuki Okudaira,水谷 巽,奥平 定之. Владелец: HITACHI LTD. Дата публикации: 1985-04-15.

Drying etching method

Номер патента: KR100188455B1. Автор: 후미히코 히구치,요시오 후카자와. Владелец: 도오교오 에레구토론 가부시키가이샤. Дата публикации: 1999-06-01.

Dry etching method

Номер патента: JPS60169140A. Автор: Norio Nakazato,Makoto Nawata,Ryoji Fukuyama,良次 福山,Masaharu Saikai,誠 縄田,西海 正治,仲里 則男. Владелец: HITACHI LTD. Дата публикации: 1985-09-02.

Dry etching method

Номер патента: JP2023001302A. Автор: Akifumi YAO,章史 八尾,聖唯 鈴木,Shoi Suzuki. Владелец: Central Glass Co Ltd. Дата публикации: 2023-01-04.

Dry etching method for metal film

Номер патента: US8961805B2. Автор: Takashi Sone,Eiichi Nishimura. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-02-24.

Dry etching method

Номер патента: KR102419013B1. Автор: 아키후미 야오,쇼이 스즈키. Владелец: 샌트랄 글래스 컴퍼니 리미티드. Дата публикации: 2022-07-08.

Dry etching method of high melting point metal film

Номер патента: KR950015619A. Автор: 히데유끼 쇼지. Владелец: 닛뽕덴끼 가부시끼가이샤. Дата публикации: 1995-06-17.

Dry etching method

Номер патента: JP7177344B2. Автор: 章史 八尾,聖唯 鈴木. Владелец: Central Glass Co Ltd. Дата публикации: 2022-11-24.

Dry etching method

Номер патента: EP2808423B1. Автор: Yuta Takeda,Akiou Kikuchi. Владелец: Central Glass Co Ltd. Дата публикации: 2017-08-02.

Dry etching method and its application

Номер патента: EP0504912B1. Автор: Masaru C/O Shimadzu Corporation Koeda,Tetsuya C/O Shimadzu Corporation Nagano. Владелец: Shimadzu Corp. Дата публикации: 1997-12-17.

Dry etching method

Номер патента: EP0795896A3. Автор: Kenji Kaneda,Akira c/o Rohm Co. Ltd. Kamisawa,Takashi c/o Rohm Co. Ltd. Nakamura. Владелец: Plasma System Corp. Дата публикации: 1999-06-02.

Dry-etching method and apparatus

Номер патента: US20090181545A1. Автор: Masaru Izawa,Masatsugu Arai,Nobuyuki Negishi. Владелец: Nobuyuki Negishi. Дата публикации: 2009-07-16.

Dry etching method

Номер патента: TW201923039A. Автор: 八尾章史,鈴木聖唯. Владелец: 日商中央硝子股份有限公司. Дата публикации: 2019-06-16.

Dry etching method of multilayer for semiconductor device

Номер патента: KR100259352B1. Автор: 지승헌,하재희. Владелец: 현대반도체주식회사. Дата публикации: 2000-08-01.

Dry etching method, fine structure formation method, mold and mold fabrication method

Номер патента: US20090017259A1. Автор: Tomoyasu Murakami,Masaru Sasago,Hideo Nakagawa. Владелец: Individual. Дата публикации: 2009-01-15.

Multi-stage resin surface etching method, and plating method on resin using same

Номер патента: EP3633066A1. Автор: Hiroshi Ishizuka,Miyoko IZUMITANI,Yasuyuki Kuramochi. Владелец: JCU Corp. Дата публикации: 2020-04-08.

Photomask etching method for chemical vapor deposition film

Номер патента: US20110027719A1. Автор: Pei-Chang Wang. Владелец: United Radiant Tech Corp. Дата публикации: 2011-02-03.

Resin composition, anti-etching layer and etching method

Номер патента: US20230212414A1. Автор: Hui-Ju Chen,Yu-Ning Chen,Shao-Li Ho,Jia Jheng Lin. Владелец: Echem Solutions Corp. Дата публикации: 2023-07-06.

Wet etching solution composition, wet etching method of glass, and patterned glass by the wet etching method

Номер патента: US20240045108A1. Автор: Katsushi Igarashi,Sang-Ro Lee. Владелец: Individual. Дата публикации: 2024-02-08.

Dry etching method of semiconductor substrate and dry etching method of silicon oxide film

Номер патента: EP4152362A4. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2024-08-21.

Dry etching gas and dry etching method using the same

Номер патента: TW200948934A. Автор: Shingo Nakamura. Владелец: Daikin Ind Ltd. Дата публикации: 2009-12-01.

Wiring layer dry etching method and semiconductor device manufacturing method

Номер патента: TW541579B. Автор: Kenji Kawai,Atsunori Nishiura,Ryoichi Yoshifuku. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-07-11.

Dry-etch for selective tungsten removal

Номер патента: US09412608B2. Автор: Xikun Wang,Nitin K. Ingle,Anchuan Wang,Zihui Li,Ching-Mei Hsu. Владелец: Applied Materials Inc. Дата публикации: 2016-08-09.

Dry etching method, dry etching agent and semiconductor device manufacturing method

Номер патента: JP6788177B2. Автор: 章史 八尾,啓之 大森,辰徳 上田. Владелец: Central Glass Co Ltd. Дата публикации: 2020-11-25.

Dry Etching Method and Dry Etching Agent

Номер патента: US20170084467A1. Автор: Yao Akifumi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2017-03-23.

DRY ETCHING METHOD, DRY ETCHING APPARATUS, METAL FILM, AND DEVICE INCLUDING THE METAL FILM

Номер патента: US20140352716A1. Автор: Takeda Yuta,KIKUCHI Akiou. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2014-12-04.

Dry etching method and dry etching apparatus by using high density plasma source

Номер патента: KR100419033B1. Автор: 김준태,석창길,손상현,강순석. Владелец: (주)울텍. Дата публикации: 2004-02-21.

A dry-etching method and an equipment for dry-etching

Номер патента: EP1063691A2. Автор: Takashi Matsuura,Junichi Murota. Владелец: Tohoku University NUC. Дата публикации: 2000-12-27.

Dry etching method and dry etching device

Номер патента: KR940006216A. Автор: 신이찌 이마이,노리히코 타마키. Владелец: 마쯔시다덴기산교 가부시기가이샤. Дата публикации: 1994-03-23.

DRY ETCHING APPARATUS AND DRY ETCHING METHOD

Номер патента: US20210335625A1. Автор: Kofuji Naoyuki,KUWAHARA Kenichi. Владелец: . Дата публикации: 2021-10-28.

Dry etching apparatus and dry etching method

Номер патента: JP5808697B2. Автор: 勝 伊澤,政士 森,森 政士,伊澤 勝,勝嗣 八木. Владелец: Hitachi High Technologies Corp. Дата публикации: 2015-11-10.

Dry etching gas and dry etching method

Номер патента: JP5407101B2. Автор: 新吾 中村,博一 青山,充司 板野,全孝 廣瀬. Владелец: Daikin Industries Ltd. Дата публикации: 2014-02-05.

Dry etching device and dry etching method

Номер патента: WO2014024216A1. Автор: 満 小荒井,甲二 埴原,敏夫 横内. Владелец: パイオニア・マイクロ・テクノロジー株式会社. Дата публикации: 2014-02-13.

Dry etching apparatus and dry etching method

Номер патента: CN100362632C. Автор: 及川弘太. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 2008-01-16.

Silicon nitride film dry etching method

Номер патента: TW200901316A. Автор: Hisao Tosaka. Владелец: Casio Computer Co Ltd. Дата публикации: 2009-01-01.

Dry etching method

Номер патента: AU2008239010A1. Автор: Koukou Suu,Yasuhiro Morikawa. Владелец: Ulvac Inc. Дата публикации: 2008-10-23.

Dry etching method of insulating film

Номер патента: TW200818301A. Автор: Masatoshi Oyama,Nobuyuki Negishi,Masahiro Sumiya. Владелец: Hitachi High Tech Corp. Дата публикации: 2008-04-16.

Dry etching method

Номер патента: TW364168B. Автор: Kazunori Tsujimoto,Naoyuki Kofuji. Владелец: HITACHI LTD. Дата публикации: 1999-07-11.

Removal of 3D semiconductor structures by dry etching

Номер патента: US09741895B2. Автор: Daniel Bryce THOMPSON,Cynthia LEMAY. Владелец: GLO AB. Дата публикации: 2017-08-22.

Dry etching method, method for producing semiconductor device, and etching device

Номер патента: EP4047636A4. Автор: Akifumi YAO,Kunihiro Yamauchi,Hikaru KITAYAMA. Владелец: Central Glass Co Ltd. Дата публикации: 2023-11-29.

Local dry etching apparatus and local dry etching fabrication method

Номер патента: US20160203989A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2016-07-14.

Dry etching process and a fabrication process of a semiconductor device using such a dry etching process

Номер патента: TW580733B. Автор: Kunihiko Nagase. Владелец: Fujitsu Ltd. Дата публикации: 2004-03-21.

REACTION CHAMBER, DRY ETCHING MACHINE AND ETCHING METHOD

Номер патента: US20200381220A1. Автор: HE HUAILIANG. Владелец: . Дата публикации: 2020-12-03.

Method for etching polysilicon with high etch selectivity through isotropic dry etch

Номер патента: KR100945226B1. Автор: 정태우. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-03-03.

Dry etching method

Номер патента: US5733820A. Автор: Kazuo Sugimoto,Satoshi Morishita,Kouichiro Adachi. Владелец: Sharp Corp. Дата публикации: 1998-03-31.

Dry etching apparatus and etching method organic light emitting display device using the same

Номер патента: KR100759684B1. Автор: 김종윤. Владелец: 삼성에스디아이 주식회사. Дата публикации: 2007-09-17.

Plasma processing apparatus for dry etching of semiconductor wafers

Номер патента: US5735993A. Автор: Kazuyoshi Yoshida. Владелец: NEC Corp. Дата публикации: 1998-04-07.

Reaction chamber, dry etching machine, and etching method

Номер патента: WO2020056890A1. Автор: 何怀亮. Владелец: 惠科股份有限公司. Дата публикации: 2020-03-26.

Methods for selective dry etching gallium oxide

Номер патента: WO2022055712A1. Автор: Feng Q. Liu,Mark J. Saly,Lakmal C. Kalutarage,Lisa J. Enman. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-17.

Tungsten hard mask for dry etching aluminum-containing layers

Номер патента: WO2001009937A1. Автор: Martin Gutsche,Satish D. Athavale. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-02-08.

Methods for selective dry etching gallium oxide

Номер патента: US20220076960A1. Автор: Feng Q. Liu,Mark J. Saly,Lakmal C. Kalutarage,Lisa J. Enman. Владелец: Applied Materials Inc. Дата публикации: 2022-03-10.

High-temperature selective dry etch having reduced post-etch solid residue

Номер патента: US8475674B2. Автор: Jing Tang,Nitin Ingle,Dongqing Yang,Kiran V. Thadani. Владелец: Applied Materials Inc. Дата публикации: 2013-07-02.

Gate trim process using either wet etch or dry etch approach to target CD for selected transistors

Номер патента: US8409994B2. Автор: Angela T. Hui,Jihwan Choi,Bradley M. Davis. Владелец: SPANSION LLC. Дата публикации: 2013-04-02.

Method for increasing etch rate during deep silicon dry etch

Номер патента: US20090215263A1. Автор: Kyle Kirby,Swarnal Borthakur. Владелец: Micron Technology Inc. Дата публикации: 2009-08-27.

Removal of 3D semiconductor structures by dry etching

Номер патента: US9368672B2. Автор: Daniel Bryce THOMPSON,Cynthia LEMAY. Владелец: GLO AB. Дата публикации: 2016-06-14.

Methods for selective dry etching gallium oxide

Номер патента: US11942330B2. Автор: Feng Q. Liu,Mark J. Saly,Lakmal C. Kalutarage,Lisa J. Enman. Владелец: Applied Materials Inc. Дата публикации: 2024-03-26.

Process and apparatus for dry-etching a semiconductor layer

Номер патента: TW445542B. Автор: Kyun-Su Shin,Kien-Koo Chi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-07-11.

Device for dry etching a wafer and appertaining method

Номер патента: GB9903653D0. Автор: . Владелец: Sez Ag. Дата публикации: 1999-04-07.

A method for dry etching a wafer

Номер патента: GB2334620B. Автор: Franz Sumnitsch. Владелец: Sez Ag. Дата публикации: 2002-12-24.

The detective method for the dry-etching machine

Номер патента: TW200410302A. Автор: Szetsen Steven Lee. Владелец: Winbond Electronics Corp. Дата публикации: 2004-06-16.

Method and composition for dry etching in semiconductor fabrication

Номер патента: TW494492B. Автор: Changhun Lee,Yun-Yen Jack Yang. Владелец: Lam Res Corppration. Дата публикации: 2002-07-11.

Dry etch of boron-containing material

Номер патента: WO2024155468A1. Автор: Qian Fu,Jeong Hwan Kim,Hang Yu,Srinivas Guggilla,Siyu ZHU,Yeonju Kwak. Владелец: Applied Materials, Inc.. Дата публикации: 2024-07-25.

Dry etch of boron-containing material

Номер патента: US20240249953A1. Автор: Qian Fu,Jeong Hwan Kim,Hang Yu,Srinivas Guggilla,Siyu ZHU,Yeonju Kwak. Владелец: Applied Materials Inc. Дата публикации: 2024-07-25.

Dry etch process for titanium-tungsten films

Номер патента: SG38942A1. Автор: Liu Lianjun. Владелец: Liu Lianjun. Дата публикации: 1997-04-17.

High dry etch rate materials for semiconductor patterning applications

Номер патента: SG10201706963VA. Автор: Ishtak Karim,Purushottam Kumar,Arpan Mahorowala. Владелец: Lam Res Corp. Дата публикации: 2018-03-28.

A kind of method that silicon carbide substrates are obtained using dry etching method

Номер патента: CN105632901B. Автор: 王锡铭. Владелец: Century Goldray Semiconductor Co Ltd. Дата публикации: 2018-05-25.

System and method for providing a dry-wet-dry etch procedure to create a sidewall profile of a via

Номер патента: US7115500B1. Автор: Victor M. Torres. Владелец: National Semiconductor Corp. Дата публикации: 2006-10-03.

Photomask making method, photomask blank and dry etching method

Номер патента: US20130034806A1. Автор: Kazuhiro Nishikawa,Hideo Kaneko,Shinichi Igarashi,Yukio Inazuki. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2013-02-07.

DRY ETCHING METHOD AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер патента: US20160148851A1. Автор: Okamoto Keiji,OZEKI Kazuyuki,ARAI Hiromasa. Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2016-05-26.

METHOD FOR REMOVING ADHERING MATTER AND DRY ETCHING METHOD

Номер патента: US20170200602A1. Автор: Tsubota Yasutoshi,Kameda Kenji,HIYAMA Shin,KIKUCHI Akiou,Watari Masanori. Владелец: . Дата публикации: 2017-07-13.

Dry etching method and semiconductor device manufacturing method

Номер патента: JP6697372B2. Автор: 明生 宇井,陽介 佐藤,香織 成宮,久貴 林,圭介 菊谷. Владелец: Kioxia Corp. Дата публикации: 2020-05-20.

Photomask making method, photomask blank and dry etching method

Номер патента: TWI457697B. Автор: Kazuhiro Nishikawa,Hideo Kaneko,Shinichi Igarashi,Yukio Inazuki. Владелец: Shinetsu Chemical Co. Дата публикации: 2014-10-21.

Dry etching method and semiconductor device manufacturing method

Номер патента: JP3283477B2. Автор: 秀夫 二河,伸一 今井,信浩 地割. Владелец: Panasonic Corp. Дата публикации: 2002-05-20.

Dry etching method and method of manufacturing semiconductor apparatus

Номер патента: US6723652B1. Автор: Seiichi Fukuda. Владелец: Sony Corp. Дата публикации: 2004-04-20.

Dry etching method and method of manufacturing semiconductor device

Номер патента: US9818657B2. Автор: Hiromasa Arai,Keiji Okamoto,Kazuyuki Ozeki. Владелец: Renesas Electronics Corp. Дата публикации: 2017-11-14.

Dry etching method and semiconductor device fabrication method

Номер патента: TW201209913A. Автор: Yasuhiro Morikawa. Владелец: Ulvac Inc. Дата публикации: 2012-03-01.

Dry Etching Method

Номер патента: US20140206196A1. Автор: Mori Isamu,Umezaki Tomonori. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2014-07-24.

DRY-ETCHING METHOD

Номер патента: US20170162397A1. Автор: KUWAHARA Kenichi,ENOKIDA Syuji. Владелец: . Дата публикации: 2017-06-08.

Silicon Dry Etching Method

Номер патента: US20160005612A1. Автор: Mori Isamu,KIKUCHI Akiou,Watari Masanori. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2016-01-07.

DRY ETCHING METHOD

Номер патента: US20180025915A1. Автор: ZUO Yueping,MA Yinghai,LI Liangjian. Владелец: BOE Technology Group Co., Ltd.. Дата публикации: 2018-01-25.

Silicon dry etching method

Номер патента: US20220044938A1. Автор: Kenta Doi,Toshiyuki Nakamura,Yasuhiro Morikawa,Toshiyuki SAKUISHI. Владелец: Ulvac Inc. Дата публикации: 2022-02-10.

DRY ETCHING METHOD

Номер патента: US20190080928A1. Автор: Zhao Lei,Wang Jiushi,Liu Qingzhao. Владелец: . Дата публикации: 2019-03-14.

DRY ETCHING METHOD

Номер патента: US20150099368A1. Автор: ONO Tetsuo,SHEN Ze,YASUNAMI Hisao. Владелец: . Дата публикации: 2015-04-09.

Dry Etching Method

Номер патента: US20180204728A1. Автор: Yao Akifumi,OOMORI Hiroyuki. Владелец: . Дата публикации: 2018-07-19.

Dry Etching Method

Номер патента: US20160218015A1. Автор: OOMORI Hiroyuki,KIKUCHI Akiou. Владелец: . Дата публикации: 2016-07-28.

DRY ETCHING METHOD

Номер патента: US20150221518A1. Автор: MORI Masahito,ARASE Takao,TERAKURA Satoshi,Machida Ryuta. Владелец: . Дата публикации: 2015-08-06.

Dry etching method

Номер патента: US20180233376A9. Автор: Yueping Zuo,Yinghai Ma,Liangjian Li. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-08-16.

DRY ETCHING METHOD

Номер патента: US20160307765A1. Автор: ONO Tetsuo,SHEN Ze,YASUNAMI Hisao. Владелец: HITACHI HIGH-TECHNOLOGIES CORPORATION. Дата публикации: 2016-10-20.

Dry Etching Method

Номер патента: US20150311039A1. Автор: Xi Chen,Liangliang LI,Yao Liu,Xiaowei Liu,Jinchao BAI,Xiangqian Ding. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2015-10-29.

DRY ETCH METHOD FOR TEXTURING SILICON AND DEVICE

Номер патента: US20160351733A1. Автор: Kim Jeehwan,GERSHON TALIA S.,LEE YUN SEOG,Haight Richard A.. Владелец: . Дата публикации: 2016-12-01.

DRY ETCH METHOD FOR TEXTURING SILICON AND DEVICE

Номер патента: US20160351734A1. Автор: Kim Jeehwan,GERSHON TALIA S.,LEE YUN SEOG,Haight Richard A.. Владелец: . Дата публикации: 2016-12-01.

DRY ETCHING METHOD

Номер патента: US20150357200A1. Автор: INUI Hirotoshi. Владелец: ZEON CORPORATION. Дата публикации: 2015-12-10.

Dry etching method

Номер патента: JP4865373B2. Автор: 謙一 桑原,聡 宇根,朋祥 市丸,正道 坂口,尚輝 安井. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-02-01.

Dry etching method using hbr or br

Номер патента: KR930001500B1. Автор: 모리다까 나까무라,다까시 구리모또,가쯔히꼬 이이즈까. Владелец: 후지쓰 가부시끼가이샤. Дата публикации: 1993-03-02.

Dry etching method for semiconductor device

Номер патента: JP2005197475A. Автор: Masahiro Takahashi,昌弘 ▲高▼橋. Владелец: Miyagi Oki Electric Co Ltd. Дата публикации: 2005-07-21.

Dry etching method

Номер патента: JPS6053025A. Автор: Takeshi Kimura,剛 木村,Kozo Mochiji,Akihiko Kishimoto,Hidehito Obayashi,広造 持地,大林 秀仁,岸本 晃彦. Владелец: HITACHI LTD. Дата публикации: 1985-03-26.

Dry etching method for metallization pattern profiling

Номер патента: KR102279612B1. Автор: 야닉 페르쁘리에. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2021-07-19.

Non-plasma dry etching method

Номер патента: CN108847391B. Автор: 王晓娟,王春,郑波,马振国,吴鑫. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2021-06-08.

Dry etching method

Номер патента: KR100677039B1. Автор: 김상권. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2007-01-31.

Dry etching method

Номер патента: KR950009963A. Автор: 신고 가도무라. Владелец: 소니 가부시기가이샤. Дата публикации: 1995-04-26.

Dry etching method

Номер патента: JP4865361B2. Автор: 謙一 桑原,聡 宇根,朋祥 市丸,正道 坂口. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-02-01.

Dry etching method of mgo thin film

Номер патента: KR101394651B1. Автор: 정지원,이일훈. Владелец: 인하대학교 산학협력단. Дата публикации: 2014-05-12.

Dry etching method

Номер патента: KR100272644B1. Автор: 신고 가도무라. Владелец: 이데이 노부유끼. Дата публикации: 2000-12-01.

Plasma dry etching method

Номер патента: KR0171070B1. Автор: 이병하. Владелец: 문정환. Дата публикации: 1999-03-30.

Dry etching method

Номер патента: JPS63238288A. Автор: Takashi Kurimoto,孝志 栗本,守孝 中村,Moritaka Nakamura. Владелец: Fujitsu Ltd. Дата публикации: 1988-10-04.

Dry etching method

Номер патента: KR100238691B1. Автор: 신고 가도무라,데쓰야 다쓰미,데쓰지 나가야마. Владелец: 이데이 노부유끼. Дата публикации: 2000-01-15.

Dry etching method

Номер патента: CN103871847A. Автор: 黄海,洪齐元. Владелец: Wuhan Xinxin Semiconductor Manufacturing Co Ltd. Дата публикации: 2014-06-18.

Dry etching method of layered structure oxide thin film

Номер патента: JP3114916B2. Автор: ビー. デスー セシュ,パン ウェイ. Владелец: Sharp Corp. Дата публикации: 2000-12-04.

Dry etching method

Номер патента: JP2956602B2. Автор: 秀行 庄司,隆一 楠木. Владелец: Nippon Electric Co Ltd. Дата публикации: 1999-10-04.

Dry etch method of zinc oxide

Номер патента: KR20020014202A. Автор: 박성주,최원국,김경국,이지면. Владелец: 김효근. Дата публикации: 2002-02-25.

Dry etching method of wafer backside using remote plasma generator

Номер патента: KR101131740B1. Автор: 김승기,장덕현,서정혁. Владелец: 주식회사 테라텍. Дата публикации: 2012-04-05.

Dry etching method

Номер патента: JPS5928341A. Автор: Yasuhiro Horiike,靖浩 堀池,Masahiro Shibagaki,柴垣 正弘. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1984-02-15.

Dry etching method

Номер патента: JPS6043829A. Автор: Hideki Takahashi,勉 塚田,Tsutomu Tsukada,高橋 秀輝. Владелец: Anelva Corp. Дата публикации: 1985-03-08.

Silicon dry etching method

Номер патента: KR20220017837A. Автор: 토시유키 나카무라,켄타 도이,토시유키 사쿠이시,야스히로 모리카와. Владелец: 가부시키가이샤 아루박. Дата публикации: 2022-02-14.

Dry etching method

Номер патента: JP3298161B2. Автор: 淳一 佐藤,新吾 門村. Владелец: Sony Corp. Дата публикации: 2002-07-02.

Dry etching method using plasma

Номер патента: KR100866495B1. Автор: 안효준,이규홍,심규환,문성훈,이진효,신희천,양전욱. Владелец: (주) 알에프세미. Дата публикации: 2008-11-03.

Dry etching method of platinum thin film

Номер патента: KR970072162A. Автор: 정지원. Владелец: 김광호. Дата публикации: 1997-11-07.

Dry etching method

Номер патента: KR0176715B1. Автор: 신고 가도무라. Владелец: 소니 가부시기가이샤. Дата публикации: 1999-04-15.

Dry etch method for silicon oxide

Номер патента: KR100870914B1. Автор: 이상선. Владелец: 주식회사 테스. Дата публикации: 2008-11-28.

Dry etching method

Номер патента: KR100225552B1. Автор: 다쓰미데쓰야. Владелец: 이데이 노부유끼. Дата публикации: 1999-10-15.

Dry etching method and apparatus

Номер патента: US20030066817A1. Автор: Hiroshi Tanabe,Tomohiro Okumura,Hiroshi Imai. Владелец: Individual. Дата публикации: 2003-04-10.

Dry etching method for semiconductor

Номер патента: JP3078821B2. Автор: 雅文 橋本,勝英 真部,正樹 森,正宏 小滝. Владелец: Japan Science and Technology Corp. Дата публикации: 2000-08-21.

Dry etching method

Номер патента: KR100274080B1. Автор: 가도무라신고. Владелец: 이데이 노부유끼. Дата публикации: 2000-12-15.

Dry etching method

Номер патента: KR0181513B1. Автор: 신고 가도무라. Владелец: 오오가 노리오. Дата публикации: 1999-04-15.

Dry etching method for interlayer insulating film

Номер патента: TWI437633B. Автор: Koukou Suu,Yasuhiro Morikawa. Владелец: Ulvac Inc. Дата публикации: 2014-05-11.

Dry etching method

Номер патента: KR101087514B1. Автор: 야스히로 모리카와,커우커우 쑤우. Владелец: 가부시키가이샤 알박. Дата публикации: 2011-11-28.

Crystal plane anisotropic dry etching method

Номер патента: JP3184988B2. Автор: 健二 山本,潤一 西澤. Владелец: Japan Science and Technology Corp. Дата публикации: 2001-07-09.

Dry etching method

Номер патента: JPWO2003007357A1. Автор: 昭貴 清水,隆 榎本,明輝 高,清水 昭貴,敬 鶴田,榎本 隆,広実 岡. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-11-04.

Semiconductor wafer dry etching method

Номер патента: JP4387801B2. Автор: カン,ヒョサン. Владелец: カン,ヒョサン. Дата публикации: 2009-12-24.

Dry etching method

Номер патента: KR950021175A. Автор: 쥰이찌 사또. Владелец: 소니 가부시기가이샤. Дата публикации: 1995-07-26.

Dry etching method of PbZrxTi₁-xO₃ thin films

Номер патента: KR970077313A. Автор: 정지원. Владелец: 김광호. Дата публикации: 1997-12-12.

Dry etching method

Номер патента: JP3798491B2. Автор: 公 輿石,竜二 本多. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-07-19.

Low-temperature dry etching method

Номер патента: JPS6432627A. Автор: Kazunori Tsujimoto,Shinichi Taji,Sadayuki Okudaira. Владелец: HITACHI LTD. Дата публикации: 1989-02-02.

Dry etching method

Номер патента: JPWO2008032627A1. Автор: 泰宏 森川,紅コウ 鄒,森川 泰宏,鄒 紅コウ. Владелец: Ulvac Inc. Дата публикации: 2010-01-21.

Dry etching method

Номер патента: JPS5954226A. Автор: 守孝 中村,Moritaka Nakamura,Naomichi Abe,阿部 直道. Владелец: Fujitsu Ltd. Дата публикации: 1984-03-29.

Dry etching method

Номер патента: TWI512826B. Автор: Kenichi Kuwabara,Go Saito,Tomoyoshi Ichimaru. Владелец: Hitachi High Tech Corp. Дата публикации: 2015-12-11.

Dry-etching method by low pressure capacitively coupled plasma

Номер патента: KR101207447B1. Автор: 이제원. Владелец: 인제대학교 산학협력단. Дата публикации: 2012-12-03.

Dry etching method

Номер патента: TWI555080B. Автор: Masahito Mori,Takao Arase,Satoshi Terakura,Ryuta Machida. Владелец: Hitachi High Tech Corp. Дата публикации: 2016-10-21.

Dry etching method for silicon thin film

Номер патента: JP3009975B2. Автор: 仁志 氏政,優 梶谷,幹雄 片山,猛久 桜井,厚志 伴,勝博 川合. Владелец: Sharp Corp. Дата публикации: 2000-02-14.

Dry etching method

Номер патента: TW200710274A. Автор: Takayuki Sakai. Владелец: Toshiba Kk. Дата публикации: 2007-03-16.

Dry etching method

Номер патента: KR100252471B1. Автор: 야나기타토시하루. Владелец: 이데이 노부유끼. Дата публикации: 2000-04-15.

Dry etching method

Номер патента: AU2008252203A1. Автор: Toshio Hayashi,Koukou Suu,Yasuhiro Morikawa,Tadayuki Satou. Владелец: Ulvac Inc. Дата публикации: 2008-11-27.

Dry Etching Method of Wafer Backside Using Remote Plasma Generator

Номер патента: KR101276318B1. Автор: 김승기,장덕현,서정혁. Владелец: 주식회사 테라텍. Дата публикации: 2013-06-18.

Dry etching method for si material

Номер патента: JPS61141139A. Автор: 和之 富田,Kazuyuki Tomita,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1986-06-28.

Dry etching method for multilayer film

Номер патента: KR100259351B1. Автор: 지승헌,하재희. Владелец: 현대반도체주식회사. Дата публикации: 2000-08-01.

Dry etching method

Номер патента: CN1535473A. Автор: , ,清水昭贵,高明辉,栉引理人,山下朝夫,樋口文彦,三浦利仁,深泽孝之. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-10-06.

Dry etching method

Номер патента: KR100229241B1. Автор: 신고 가도무라. Владелец: 이데이 노부유끼. Дата публикации: 1999-11-01.

Dry etching method for semiconductor device

Номер патента: US7955963B2. Автор: Akira Takahashi. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-06-07.

Dry etching method

Номер патента: EP0516043A3. Автор: Haruo Okano,Masaru Hori,Masao Ito,Yoshio Ishikawa,Keiji Horioka,Masahito Hiratsuka. Владелец: Tokyo Electron Ltd. Дата публикации: 1993-10-06.

Dry etching method

Номер патента: JPS61121440A. Автор: 隆三 宝珍,Riyuuzou Houchin,Ichiro Nakayama,一郎 中山,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1986-06-09.

Dry etching method

Номер патента: JP3109253B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2000-11-13.

Dry etching method and apparatus therefor

Номер патента: JPS6175529A. Автор: Haruo Okano,晴雄 岡野,誠 関根,Yasuhiro Horiike,靖浩 堀池,Makoto Sekine. Владелец: Toshiba Corp. Дата публикации: 1986-04-17.

Dry etching method

Номер патента: EP0644582A2. Автор: Kadomura C/O Sony Corporation Shingo. Владелец: Sony Corp. Дата публикации: 1995-03-22.

Dry etching method.

Номер патента: DE3854561D1. Автор: Haruo C O Patent Divisio Okano,Makoto C O Patent Divis Sekine,Tsunetoshi C O Patent Arikado,Yasuhiro C O Patent Div Horike. Владелец: Toshiba Corp. Дата публикации: 1995-11-16.

Dry etching method

Номер патента: EP2755229A1. Автор: Isamu Mori,Tomonori Umezaki. Владелец: Central Glass Co Ltd. Дата публикации: 2014-07-16.

Dry etching method and apparatus

Номер патента: KR100551392B1. Автор: 오쿠무라도모히로,기무라다다시,미츠하시아키오. Владелец: 마츠시타 덴끼 산교 가부시키가이샤. Дата публикации: 2006-02-09.

Dry etching method

Номер патента: CN111029254A. Автор: 李树宏,李三三,汤伟杰,刘一川. Владелец: Suzhou Keyang Photoelectric Science & Technology Co ltd. Дата публикации: 2020-04-17.

Dry etching method

Номер патента: JPS60165724A. Автор: Haruo Okano,晴雄 岡野,誠 関根,Yasuhiro Horiike,靖浩 堀池,Makoto Sekine. Владелец: Toshiba Corp. Дата публикации: 1985-08-28.

Dry etching method and there apparatus

Номер патента: KR920005349B1. Автор: 하루오 오카노,야스히로 호리이케,마고토 세키네,츠네토시 아리가도. Владелец: 아오이 죠이치. Дата публикации: 1992-07-02.

Dry etching method

Номер патента: JPWO2014104290A1. Автор: 裕俊 乾. Владелец: Zeon Corp. Дата публикации: 2017-01-19.

Semiconductor Dry Etching Method

Номер патента: KR970023814A. Автор: 이강현,민경진,한민석. Владелец: 김광호. Дата публикации: 1997-05-30.

Interlayer dielectric film dry etching method

Номер патента: JPWO2007135906A1. Автор: 泰宏 森川,弘綱 鄒,森川 泰宏. Владелец: Ulvac Inc. Дата публикации: 2009-10-01.

Dry etching method

Номер патента: JP3116569B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2000-12-11.

Dry etching composition, kit, pattern formation method, and method of manufacturing optical filter

Номер патента: US20190154891A1. Автор: Keisuke Arimura. Владелец: Fujifilm Corp. Дата публикации: 2019-05-23.

Anodic etching method for the detection of electrically active defects in silicon

Номер патента: CA1069221A. Автор: Robert O. Schwenker,Michael R. Poponiak,John L. Deines. Владелец: John L. Deines. Дата публикации: 1980-01-01.

Etching method employing positive photoresist film

Номер патента: US4686173A. Автор: Jun Kanamori,Mamoru Yokoyama. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 1987-08-11.

DRY ETCHING DEVICE AND DRY ETCHING METHOD

Номер патента: US20160260586A1. Автор: CHAI Li. Владелец: . Дата публикации: 2016-09-08.

Dry etching equipment and dry etching method

Номер патента: JP3385528B2. Автор: 英樹 原野. Владелец: NEC Corp. Дата публикации: 2003-03-10.

Process optimization in gas phase dry etching

Номер патента: US5711849A. Автор: Daniel L. Flamm,John P. Verboncoeur. Владелец: Individual. Дата публикации: 1998-01-27.

End point judging method of dry etching and dry etching equipment

Номер патента: JPH11176815A. Автор: Masato Kijima,正人 貴島. Владелец: Ricoh Co Ltd. Дата публикации: 1999-07-02.

Dry etching device provided with monitor

Номер патента: JPS56160042A. Автор: Nobuo Hayasaka,Junichi Nishizawa. Владелец: Semiconductor Research Foundation. Дата публикации: 1981-12-09.

Method and apparatus for dry etching

Номер патента: JPS57200571A. Автор: Sumio Yamamoto,Seiichi Yoda. Владелец: Fujitsu Ltd. Дата публикации: 1982-12-08.

Dry etching method

Номер патента: JPS5953684A. Автор: 守孝 中村,Moritaka Nakamura,Takashi Maruyama,隆司 丸山. Владелец: Fujitsu Ltd. Дата публикации: 1984-03-28.

Dry etching method

Номер патента: JPS6155925A. Автор: Haruo Okano,晴雄 岡野,Takashi Yamazaki,隆 山崎. Владелец: Toshiba Corp. Дата публикации: 1986-03-20.

Dry etching method

Номер патента: JPS57169241A. Автор: Norio Hirashita,Jun Kanamori. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 1982-10-18.

Dry-etching method

Номер патента: JPS6159832A. Автор: Masao Hirano,平野 雅夫. Владелец: Fujitsu Ltd. Дата публикации: 1986-03-27.

Dry etching method

Номер патента: JPS5669374A. Автор: Tsunetoshi Arikado,Hiroshi Kinoshita. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1981-06-10.

Dry etching method

Номер патента: JPH0760815B2. Автор: 伸裕 遠藤,昌雄 田島. Владелец: NEC Corp. Дата публикации: 1995-06-28.

Dry etching method

Номер патента: JPS613410A. Автор: Yasumi Hikosaka,康己 彦坂. Владелец: Fujitsu Ltd. Дата публикации: 1986-01-09.

Dry etching and mirror deposition processes for silicone elastomer

Номер патента: US20020148813A1. Автор: Axel Scherer,Mark Adams. Владелец: California Institute of Technology CalTech. Дата публикации: 2002-10-17.

Dry etching and mirror deposition processes for silicone elastomer

Номер патента: WO2002066700A1. Автор: Axel Scherer,Mark Adams. Владелец: California Institute of Technology. Дата публикации: 2002-08-29.

Dry etching equipment and dry etching cathode and O ring and vacuum system

Номер патента: TW200525581A. Автор: Ming-Hung Tseng,Chin-Chih Chen,Tzu-Chan Wang,Wei-Shin Tien. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-08-01.

Dry etching equipment and dry etching cathode and o-ring and vacuum system

Номер патента: TWI240299B. Автор: Tzu-Chan Weng,Ming-Hung Tseng,Chin-Chih Chen,Wei-Shin Tien. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2005-09-21.

DRY ETCHING METHOD

Номер патента: US20140008322A1. Автор: Sakai Toshiyasu,Abo Hiroyuki,Abe Kazuya. Владелец: . Дата публикации: 2014-01-09.

Dry Etching Agent and Dry Etching Method

Номер патента: US20130105728A1. Автор: Mori Isamu,Umezaki Tomonori,Hibino Yasuo,OKAMOTO Satoru,KIKUCHI Akiou. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2013-05-02.

Mask material for reactive ion etching, mask and dry etching method

Номер патента: JP4170165B2. Автор: 秀一 大川,一博 服部. Владелец: TDK Corp. Дата публикации: 2008-10-22.

Dry etching method, fine structure forming method, mold and manufacturing method thereof

Номер патента: JP4783169B2. Автор: 友康 村上,勝 笹子,秀夫 中川. Владелец: Panasonic Corp. Дата публикации: 2011-09-28.

Dry etching method, fine structure formation method, mold and mold fabrication method

Номер патента: US20070187362A1. Автор: Tomoyasu Murakami,Masaru Sasago,Hideo Nakagawa. Владелец: Individual. Дата публикации: 2007-08-16.

DRY ETCHING METHOD FOR FILM LAYER STRUCTURE AND FILM LAYER STRUCTURE

Номер патента: US20210010140A1. Автор: HU Chong,WEI Xianwang. Владелец: . Дата публикации: 2021-01-14.

Dry etching method for metal having high fusion point

Номер патента: JPS6148924A. Автор: Sadao Adachi,Nobuhiko Susa,定雄 安達,須佐 信彦. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1986-03-10.

Dry etching method

Номер патента: JP3202466B2. Автор: 秀行 庄司. Владелец: NEC Corp. Дата публикации: 2001-08-27.

PHOTOSENSITIVE RESIN COMPOSITION FOR DRY ETCHING, AND METHOD FOR PRODUCING RESIST PATTERN FOR DRY ETCHING

Номер патента: US20160291475A1. Автор: UEMATSU Teruhiro,OSHIO Kiminori. Владелец: . Дата публикации: 2016-10-06.

Dry etching apparatus and etching method using light and microwave

Номер патента: KR950019926A. Автор: 김동석,김경진,이병석. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-07-24.

Dry etching method of conductive high polymerized membrane using microwave source

Номер патента: KR100865485B1. Автор: 박영환,강정원. Владелец: 단국대학교 산학협력단. Дата публикации: 2008-10-27.

Dry Etching Method for Magnetic Tunnel Junction(MTJ) stack

Номер патента: KR101222190B1. Автор: 김은호,정지원. Владелец: 인하대학교 산학협력단. Дата публикации: 2013-01-14.

Dry etching method

Номер патента: JPS57149733A. Автор: Tokuo Kure,Keijiro Uehara,Nobuo Hasegawa,Hiroshi Yanagisawa,Sadayuki Okudaira. Владелец: HITACHI LTD. Дата публикации: 1982-09-16.

PLASMA ETCHING METHOD

Номер патента: US20120003838A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Silicon surface preparation for dry etching texturing process.

Номер патента: IE20200018A2. Автор: Clochard Laurent,Sai Narayana Barimar Prabhava. Владелец: Ultra High Vacuum Solutions Ltd. Дата публикации: 2021-12-22.

Silicon surface preparation for dry etching texturing process.

Номер патента: IE87467B1. Автор: Clochard Laurent,Sai Narayana Barimar Prabhava. Владелец: Ultra High Vacuum Solutions Ltd. Дата публикации: 2024-01-03.

Method for determining dry etching time and dry etching method

Номер патента: JP5163894B2. Автор: 慎一 五十嵐. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2013-03-13.

DRY ETCHING METHOD AND DRY ETCHING APPARATUS

Номер патента: US20120094500A1. Автор: SUZUKI Hiroyuki,OKUNE Mitsuhiro. Владелец: . Дата публикации: 2012-04-19.

Dry Etching Agent and Dry Etching Method Using the Same

Номер патента: US20120298911A1. Автор: . Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2012-11-29.

Sample dry etching method and dry etching apparatus

Номер патента: JP4068986B2. Автор: 大本  豊,良次 福山,守 薬師寺. Владелец: Hitachi High Technologies Corp. Дата публикации: 2008-03-26.

Dry etching method and dry etching apparatus

Номер патента: JP3339136B2. Автор: 哲也 辰巳. Владелец: Sony Corp. Дата публикации: 2002-10-28.

Dry etching method and dry etching apparatus

Номер патента: JP2794963B2. Автор: 徳彦 玉置,正文 久保田,登 野村. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1998-09-10.

Dry etching apparatus and dry etching method

Номер патента: JP4713851B2. Автор: 俊雄 林,泰宏 森川,紅コウ 鄒. Владелец: Ulvac Inc. Дата публикации: 2011-06-29.

Dry etching method of gallium nitride based compound semiconductor

Номер патента: TW200303051A. Автор: Shinji Nakagami,Hiramoto Michihiko,Furuto Nobusuke,Hiroyuki Ogiya. Владелец: Shamk Internat Inst Co Ltd. Дата публикации: 2003-08-16.

Dry etching method of gallium nitride based compound semiconductor

Номер патента: TWI267136B. Автор: Shinji Nakagami,Hiromichi Ogiya,Michihiro Hiramoto,Shinsuke Furuto. Владелец: Samco Internat Inc. Дата публикации: 2006-11-21.

Dry etching apparatus and dry etching method using it

Номер патента: JPH1041277A. Автор: 英規 乾,Hidenori Inui. Владелец: Miyazaki Oki Electric Co Ltd. Дата публикации: 1998-02-13.

Dry etching method and dry etching apparatus

Номер патента: JP4595431B2. Автор: 典仁 福上. Владелец: Toppan Inc. Дата публикации: 2010-12-08.

Dry etching method

Номер патента: JPS54123875A. Автор: Hiroshi Takeuchi. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1979-09-26.

Dry etching device top cover and dry etching device

Номер патента: CN107633994B. Автор: 崔珠峰. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2020-02-07.

Etching mask and dry etching method

Номер патента: JP4654811B2. Автор: 浩 杉村. Владелец: Toppan Inc. Дата публикации: 2011-03-23.

GATE TRIM PROCESS USING EITHER WET ETCH OR DRY ETCH APPROACH TO TARGET CD FOR SELECTED TRANSISTORS

Номер патента: US20120032308A1. Автор: Hui Angela T.,Choi Jihwan,Davis Bradley M.. Владелец: SPANSION LLC. Дата публикации: 2012-02-09.

Method for patterning sapphire by combining wet etching and dry etching

Номер патента: CN102184842B. Автор: 顾小云,张建宝. Владелец: HC Semitek Corp. Дата публикации: 2012-11-07.

Monitoring method of etching in dry etching

Номер патента: JP3261660B2. Автор: 昭彦 悳. Владелец: Ulvac Coating Corp. Дата публикации: 2002-03-04.

Method for patterning sapphire by combining wet etching and dry etching

Номер патента: CN102184842A. Автор: 顾小云,张建宝. Владелец: HC Semitek Corp. Дата публикации: 2011-09-14.

Method of dry etching of interlayer insulation film and etching device

Номер патента: TW200735206A. Автор: Toshio Hayashi. Владелец: Philtech Inc. Дата публикации: 2007-09-16.

Process of etching a silicon nitride layer by a multi-step dry etching procedure

Номер патента: TW343361B. Автор: Shiang-Yuan Jeng,Shiuh-Lii Jeng,Tzyy-Shy Yan. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 1998-10-21.

Method of dry etching

Номер патента: JPS54126471A. Автор: Hiroshi Takeuchi. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1979-10-01.

Solution for removal of post dry etching residue

Номер патента: TW200512287A. Автор: Kwo-Hung Shen,Wen-Shoei Sheen,Jack Ting,Sheng-Hung Tu. Владелец: Merck Kanto Advanced Chemical. Дата публикации: 2005-04-01.

Cleaning solution and method for removal of post dry etching residue

Номер патента: TWI222994B. Автор: Kwo-Hung Shen,Wen-Shoei Sheen,Jack Ting,Sheng-Hung Tu. Владелец: Merck Kanto Advanced Chemical. Дата публикации: 2004-11-01.

Method for discharging wafer after dry etching metal layer

Номер патента: TW200509245A. Автор: Ming-Shuo Yen,YJ Yang,Ym Wang,Yp Pan. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2005-03-01.

Solution for removal of post dry etching residue

Номер патента: TWI247038B. Автор: Kwo-Hung Shen,Wen-Shoei Sheen,Jack Ting,Sheng-Hung Tu. Владелец: Merck Kanto Advanced Chemical. Дата публикации: 2006-01-11.

Exhausting means in a dry etching apparatus

Номер патента: TWI247823B. Автор: Rung-Fu Ju. Владелец: Nanya Technology Corp. Дата публикации: 2006-01-21.

Method for discharging wafer after dry etching metal layer

Номер патента: TWI223351B. Автор: Yi-Ming Wang,Ming-Shuo Yen,Yi-Ping Pan,Yau-Rung Yang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2004-11-01.

Plasma reaction chamber and process of dry etching

Номер патента: TW200428514A. Автор: Chun-Wei Chen,Yi-Hsiung Lin,Hong-Long Chang,Shih-Kun Kuo. Владелец: Promos Technologies Inc. Дата публикации: 2004-12-16.

Dry etching method and semiconductor device manufacturing method

Номер патента: JP3816494B2. Автор: 武志 山下,秀樹 堂下,光一 川嶋. Владелец: Panasonic Corp. Дата публикации: 2006-08-30.

Dry etching method and plasma ashing method

Номер патента: JP4425370B2. Автор: 悟 岡本. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2010-03-03.

Dry etching method and semiconductor device manufacturing method

Номер патента: JP3295172B2. Автор: 加津雄 高野. Владелец: Tokyo Electron Ltd. Дата публикации: 2002-06-24.

Dry etching method and X-ray mask manufacturing method

Номер патента: JP3995784B2. Автор: 明典 栗川,勉 笑喜,亮 大久保. Владелец: Hoya Corp. Дата публикации: 2007-10-24.

Dry etching method and method for cleaning inside of apparatus

Номер патента: JPH11345802A. Автор: Makoto Okawa,大川  誠. Владелец: Denso Corp. Дата публикации: 1999-12-14.

Semiconductor device forming substrate manufacturing method and dry etching method

Номер патента: JP5691357B2. Автор: 久雄 登坂. Владелец: Casio Computer Co Ltd. Дата публикации: 2015-04-01.

Dry etching method, microlens array and manufacturing method thereof

Номер патента: JP4399310B2. Автор: 俊雄 林,泰宏 森川,紅コウ 鄒,正則 小澤. Владелец: Ulvac Inc. Дата публикации: 2010-01-13.

Dry etching method

Номер патента: JPS5789477A. Автор: Tsunetoshi Arikado. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1982-06-03.

Dry etching method

Номер патента: JPS6224627A. Автор: Junichi Sato,淳一 佐藤. Владелец: Sony Corp. Дата публикации: 1987-02-02.

Dry etching method

Номер патента: JPH11162941A. Автор: Takeshi Tokashiki,健 渡嘉敷. Владелец: NEC Corp. Дата публикации: 1999-06-18.

DRY ETCHING METHOD

Номер патента: US20120129278A1. Автор: Watanabe Kazuhiro,Yoshii Manabu. Владелец: ULVAC, INC.. Дата публикации: 2012-05-24.

DRY ETCHING METHOD OF SURFACE TEXTURE FORMATION ON SILICON WAFER

Номер патента: US20120138139A1. Автор: . Владелец: INTEVAC, INC.. Дата публикации: 2012-06-07.

DRY ETCHING METHOD

Номер патента: US20130015158A1. Автор: Saito Go,KUWABARA Kenichi,ICHIMARU Tomoyoshi. Владелец: . Дата публикации: 2013-01-17.

DRY-ETCHING METHOD

Номер патента: US20130025789A1. Автор: IIJIMA Etsuo,Yamada Norikazu. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-01-31.

DRY ETCHING METHOD FOR METAL FILM

Номер патента: US20130098868A1. Автор: Nishimura Eiichi,SONE Takashi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-04-25.

Dry etching method

Номер патента: JPS5430781A. Автор: Shinya Iida,Hisayuki Higuchi,Tatsumi Mizutani,Seitaro Matsuo,Hideo Komatsu,Makoto Asakawa. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1979-03-07.

Dry etching method

Номер патента: JP2824584B2. Автор: 誠太郎 松尾,蕃 中島,美佐雄 関本,千春 高橋. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1998-11-11.

Dry etching method

Номер патента: JP4546667B2. Автор: 克典 平井,昭貴 清水. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-09-15.

Dry etching method

Номер патента: JP2804037B2. Автор: 伸夫 早坂,晴雄 岡野,弘剛 西野,啓治 堀岡. Владелец: Toshiba Corp. Дата публикации: 1998-09-24.

Dry etching method

Номер патента: JPS6474727A. Автор: Hiroyuki Miyashita. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 1989-03-20.

Plasma apparatus and dry etching method using the same

Номер патента: JP3263852B2. Автор: 哲治 長山. Владелец: Sony Corp. Дата публикации: 2002-03-11.

Dry etching method

Номер патента: JPS58113375A. Автор: Toshio Oshima,利雄 大島. Владелец: Fujitsu Ltd. Дата публикации: 1983-07-06.

Dry etching method

Номер патента: JP3291889B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2002-06-17.

Metal gate dry etching method

Номер патента: JP4994161B2. Автор: 譲 山本,昭人 河内,敏明 西田. Владелец: Hitachi High Technologies Corp. Дата публикации: 2012-08-08.

Dry etching method

Номер патента: JPS5623276A. Автор: Kazuo Tokitomo,Hitoshi Hoshino,Chuichi Takada. Владелец: Fujitsu Ltd. Дата публикации: 1981-03-05.

Dry etching method

Номер патента: JP3154128B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2001-04-09.

Silicon Oxide Dry Etching Method

Номер патента: KR940012059A. Автор: 박해성. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-06-22.

Dry etching method

Номер патента: JP3111640B2. Автор: 哲治 長山,敏治 柳田. Владелец: Sony Corp. Дата публикации: 2000-11-27.

Dry etching method

Номер патента: JP3104388B2. Автор: 新吾 門村. Владелец: Sony Corp. Дата публикации: 2000-10-30.

Dry etching method

Номер патента: JP3830560B2. Автор: 米一 小河原. Владелец: Canon Anelva Corp. Дата публикации: 2006-10-04.

Dry etching method of aluminum

Номер патента: JPS6033367A. Автор: Naoki Kasai,直記 笠井. Владелец: Nippon Electric Co Ltd. Дата публикации: 1985-02-20.

Dry etching method

Номер патента: JPS6039175A. Автор: Tatsumi Mizutani,Kazunori Tsujimoto,和典 辻本,Sadayuki Okudaira,水谷 巽,奥平 定之. Владелец: HITACHI LTD. Дата публикации: 1985-02-28.

Selective dry etching method for copper thin film

Номер патента: JP3077178B2. Автор: 啓二 篠原. Владелец: Sony Corp. Дата публикации: 2000-08-14.

Dry etching method

Номер патента: JPS5923875A. Автор: Yoshitsugu Nishimoto,新吾 門村,Shingo Kadomura,西本 佳嗣. Владелец: Sony Corp. Дата публикации: 1984-02-07.

Dry etching method

Номер патента: JP3108929B2. Автор: 哲也 辰巳. Владелец: Sony Corp. Дата публикации: 2000-11-13.

Dry etching method

Номер патента: JP4060450B2. Автор: 仁 松尾,大輔 田島,孝則 西澤,宏樹 笹野. Владелец: Applied Materials Inc. Дата публикации: 2008-03-12.

Semiconductor dry etching method

Номер патента: KR970077314A. Автор: 이선훈. Владелец: 김광호. Дата публикации: 1997-12-12.

Dry etching method

Номер патента: JPH10209124A. Автор: Kenji Tawara,賢治 田原. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-08-07.

Dry etching method

Номер патента: JP3079656B2. Автор: 新吾 門村. Владелец: Sony Corp. Дата публикации: 2000-08-21.

Dry etching method

Номер патента: JP2713903B2. Автор: 博宣 川原,豊 掛樋,良次 福山,勝義 工藤. Владелец: HITACHI LTD. Дата публикации: 1998-02-16.

Dry etching method

Номер патента: JPS5511167A. Автор: Takehisa Nitta,Yoshinori Kureishi. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1980-01-25.

Dry etching method

Номер патента: JP3208596B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2001-09-17.

Low Temperature Dry Etching Method of Semiconductor Devices

Номер патента: KR940027080A. Автор: 최봉호,강효상. Владелец: 현대전자산업 주식회사. Дата публикации: 1994-12-10.

Dry etching method of compound semiconductor maultilayer film

Номер патента: JP2003234342A. Автор: 真也 布上,Tsutomu Tezuka,勉 手塚,Shinya Nunogami. Владелец: Toshiba Corp. Дата публикации: 2003-08-22.

Dry etching method and apparatus

Номер патента: JP4056316B2. Автор: 宏之 鈴木,浩 田辺,宏 今井,裕也 原田,佳則 中川. Владелец: Panasonic Corp. Дата публикации: 2008-03-05.

Dry etching method

Номер патента: JPH11111682A. Автор: 新吾 門村,Shingo Kadomura. Владелец: Sony Corp. Дата публикации: 1999-04-23.

Dry etching method for refractory metal film

Номер патента: JP3164789B2. Автор: 雅人 伊藤,世烈 朴. Владелец: Applied Materials Inc. Дата публикации: 2001-05-08.

Dry etching method for oxide film

Номер патента: JP2950785B2. Автор: 洋司 齋藤. Владелец: SENTORARU GARASU KK. Дата публикации: 1999-09-20.

Dry etching method

Номер патента: JP3296392B2. Автор: 哲 奥. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 2002-06-24.

Dry etching method

Номер патента: JP3418045B2. Автор: 浩一郎 足立,敏 森下,和雄 杉本. Владелец: Sharp Corp. Дата публикации: 2003-06-16.

Dry etching method for low dielectric constant interlayer insulating film

Номер патента: JP4500029B2. Автор: 泰宏 森川,紅コウ 鄒. Владелец: Ulvac Inc. Дата публикации: 2010-07-14.

Dry etching method

Номер патента: JPS62192589A. Автор: Yoshiaki Kageura,Yasuo Hashino,Eigo Terada,能章 影浦,橋野 康雄,寺田 栄吾. Владелец: Asahi Chemical Industry Co Ltd. Дата публикации: 1987-08-24.

Dry etching method

Номер патента: JP2650970B2. Автор: 喜一郎 向,和典 辻本,新一 田地,定之 奥平. Владелец: HITACHI LTD. Дата публикации: 1997-09-10.

Dry etching method

Номер патента: JPS58150429A. Автор: Sadao Okano,貞夫 岡野,Noboru Akiyama,登 秋山. Владелец: HITACHI LTD. Дата публикации: 1983-09-07.

Dry etching method

Номер патента: JP4215294B2. Автор: 哲也 高垣,章 関屋,俊郎 山田,邦明 後藤. Владелец: Zeon Corp. Дата публикации: 2009-01-28.

Dry etching method

Номер патента: JP3986808B2. Автор: 昭貴 清水,理人 櫛引,孝之 深澤,朝夫 山下,文彦 樋口. Владелец: Tokyo Electron Ltd. Дата публикации: 2007-10-03.

Dry etching method

Номер патента: JP3398896B2. Автор: 泰三 於久,淳一 青木. Владелец: Canon Inc. Дата публикации: 2003-04-21.

Dry etching method

Номер патента: JPS63124419A. Автор: Haruo Okano,晴雄 岡野,誠 関根,Makoto Sekine. Владелец: Toshiba Corp. Дата публикации: 1988-05-27.

Reactive dry etching method

Номер патента: JP2949744B2. Автор: 晃 石橋,健次 船戸,正道 小川,幸代 新田. Владелец: Sony Corp. Дата публикации: 1999-09-20.

Dry etching method

Номер патента: JP2650313B2. Автор: 隆三 宝珍,益男 丹野,洋 小倉. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1997-09-03.

Dry etching method

Номер патента: JPS6231126A. Автор: Nobuo Hayasaka,伸夫 早坂,Haruo Okano,晴雄 岡野,Sayaka Sudou,須藤 さやか. Владелец: Toshiba Corp. Дата публикации: 1987-02-10.

Dry etching method of germanium

Номер патента: CN105304480A. Автор: 邱鹏,王宇翔,顾佳烨,段立帆. Владелец: SHANGHAI XIRUI TECHNOLOGY Co Ltd. Дата публикации: 2016-02-03.

Dry etching method and target film used for it

Номер патента: JPS5437580A. Автор: Yukinori Kuroki,Makoto Asakawa,Masao Tajima,Koji Mizusawa. Владелец: Nippon Electric Co Ltd. Дата публикации: 1979-03-20.

Dry etching method

Номер патента: JP3362372B2. Автор: 勝 伊澤,賢悦 横川,新一 田地,清二 山本,伸幸 根岸. Владелец: HITACHI LTD. Дата публикации: 2003-01-07.

Dry etching method

Номер патента: JP3887123B2. Автор: 森 大 晃 ▲吉▼. Владелец: Shibaura Mechatronics Corp . Дата публикации: 2007-02-28.

Dry etching method

Номер патента: JPS63166230A. Автор: Kikuo Yamabe,紀久夫 山部. Владелец: Toshiba Corp. Дата публикации: 1988-07-09.

Low temperature dry etching method

Номер патента: JP2834129B2. Автор: 和典 辻本,新一 田地,定之 奥平. Владелец: HITACHI LTD. Дата публикации: 1998-12-09.

Dry etching method

Номер патента: JPS58100684A. Автор: Seitaro Matsuo,松尾 誠太郎. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1983-06-15.

Dry etching method

Номер патента: JP5305734B2. Автор: 宗徳 日▲高▼. Владелец: Lapis Semiconductor Co Ltd. Дата публикации: 2013-10-02.

Dry etching method for sacrifice layer of micro-electro-mechanical system

Номер патента: CN104261345A. Автор: 雷述宇,史晔. Владелец: NORTH GUANGWEI TECHNOLOGY Inc. Дата публикации: 2015-01-07.

Dry etching method

Номер патента: JP3128837B2. Автор: 啓二 篠原. Владелец: Sony Corp. Дата публикации: 2001-01-29.

Dry etching method

Номер патента: JP3326864B2. Автор: 正文 久保田,秀夫 中川,章夫 三坂,憲司 服藤. Владелец: Panasonic Corp. Дата публикации: 2002-09-24.

Dry etching method

Номер патента: JP3454051B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2003-10-06.

Dry etching method

Номер патента: JPS589983A. Автор: Shinichi Nagai,Toshiyuki Chiba,Toyokazu Nagano,Kazunori Imai,慎一 永井,千葉 敏之,今井 和典,長野 豊和. Владелец: HITACHI LTD. Дата публикации: 1983-01-20.

Interlayer dielectric film dry etching method

Номер патента: JP4761502B2. Автор: 俊雄 林. Владелец: Ulvac Inc. Дата публикации: 2011-08-31.

Dry etching method of semiconductor device

Номер патента: KR960035869A. Автор: 최상준. Владелец: 엘지 반도체 주식회사. Дата публикации: 1996-10-28.

Dry etching method

Номер патента: JPH1046372A. Автор: Makoto Muto,藤 真 武. Владелец: Shibaura Engineering Works Co Ltd. Дата публикации: 1998-02-17.

Dry etching method

Номер патента: JPS63492A. Автор: Hideki Takahashi,高橋 秀輝. Владелец: Anelva Corp. Дата публикации: 1988-01-05.

Dry etching method

Номер патента: JPS5470772A. Автор: Hiroshi Kinoshita,Takeshi Matsuo. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1979-06-06.

Dry etching method

Номер патента: JP3264035B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2002-03-11.

Dry etching method

Номер патента: JPS62163325A. Автор: 和之 富田,Kazuyuki Tomita,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1987-07-20.

Dry etching method

Номер патента: JP3662275B2. Автор: 敏治 柳田. Владелец: Sony Corp. Дата публикации: 2005-06-22.

Dry etching method

Номер патента: JP3222726B2. Автор: 浩一郎 足立,敏 森下,和雄 杉本. Владелец: Sharp Corp. Дата публикации: 2001-10-29.

Dry etching method

Номер патента: JP6544215B2. Автор: 啓之 大森,亜紀応 菊池. Владелец: Central Glass Co Ltd. Дата публикации: 2019-07-17.

Dry etching method

Номер патента: JP6295130B2. Автор: 哲郎 小野,久夫 安並,小野 哲郎,ゼ 申. Владелец: Hitachi High Technologies Corp. Дата публикации: 2018-03-14.

Dry etching method for metal wiring

Номер патента: JP3324466B2. Автор: 靖彦 上田. Владелец: NEC Corp. Дата публикации: 2002-09-17.

Dry etching method

Номер патента: JPS6474728A. Автор: Hiroyuki Miyashita. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 1989-03-20.

Dry etching method

Номер патента: JP3067289B2. Автор: 徳彦 玉置,正文 久保田,登 野村,敦浩 山野,憲司 服藤. Владелец: Panasonic Corp. Дата публикации: 2000-07-17.

Dry etching method

Номер патента: JPS5470771A. Автор: Hiroshi Takeuchi. Владелец: CHO LSI GIJUTSU KENKYU KUMIAI. Дата публикации: 1979-06-06.

Dry etching method

Номер патента: JPS61295634A. Автор: Masayoshi Kanetani,金谷 政好. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 1986-12-26.

Dry etching method

Номер патента: JP2591209B2. Автор: 哲也 辰巳,新吾 門村. Владелец: Sony Corp. Дата публикации: 1997-03-19.

Semiconductor dry etching method

Номер патента: JP3639144B2. Автор: 雅文 橋本,勝英 真部,正樹 森,正宏 小滝. Владелец: Toyota Central R&D Labs Inc. Дата публикации: 2005-04-20.

Dry etching method

Номер патента: JPS62198125A. Автор: Hisahiro Okumura,寿浩 奥村,Akira Kuroyanagi,Shigeo Kanazawa,晃 黒柳,重雄 金澤. Владелец: NipponDenso Co Ltd. Дата публикации: 1987-09-01.

Dry etching method for low dielectric constant interlayer insulating film

Номер патента: JP4681215B2. Автор: 俊雄 林,泰宏 森川,紅コウ 鄒. Владелец: Ulvac Inc. Дата публикации: 2011-05-11.

Dry etching method

Номер патента: JPS63137428A. Автор: Hitoshi Yonemura,均 米村. Владелец: Sony Corp. Дата публикации: 1988-06-09.

Dry etching method

Номер патента: JP3077224B2. Автор: 啓二 篠原. Владелец: Sony Corp. Дата публикации: 2000-08-14.

Dry etching method

Номер патента: JP3282243B2. Автор: 新吾 門村. Владелец: Sony Corp. Дата публикации: 2002-05-13.

Dry etching method

Номер патента: JP4368244B2. Автор: 謙一 桑原,剛 斉藤,康博 西森,益法 石原,美臣 甲斐. Владелец: Hitachi High Technologies Corp. Дата публикации: 2009-11-18.

Dry etching method

Номер патента: JP2690900B2. Автор: 佳恵 田中. Владелец: HITACHI LTD. Дата публикации: 1997-12-17.

Dry etching method and apparatus

Номер патента: JPH0828345B2. Автор: 豊 掛樋,則男 仲里. Владелец: HITACHI LTD. Дата публикации: 1996-03-21.