• Главная
  • Local Gate Height Tuning by Cmp And Dummy Gate Design

Local Gate Height Tuning by Cmp And Dummy Gate Design

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method of patterning without dummy gates

Номер патента: US09721793B2. Автор: Jeffrey Smith,Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-01.

Integrated circuits and methods of forming the same with effective dummy gate cap removal

Номер патента: US09917016B2. Автор: Klaus Hempel,Dina Triyoso. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-13.

Equal Gate Height Control Method for Semiconductor Device with Different Pattern Densites

Номер патента: US20160126142A1. Автор: Huang Ming-Jie,Chen Chao-Cheng,LIN Yu Chao. Владелец: . Дата публикации: 2016-05-05.

Method of Patterning Without Dummy Gates

Номер патента: US20170040162A1. Автор: Jeffrey Smith,Anton J. deVilliers. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-02-09.

Dummy Gate for a High Voltage Transistor Device

Номер патента: US20160086859A1. Автор: Tsai Yung-Chih,LIN Han-Chung. Владелец: . Дата публикации: 2016-03-24.

REDUCING METAL GATE OVERHANG BY FORMING A TOP-WIDE BOTTOM-NARROW DUMMY GATE ELECTRODE

Номер патента: US20180350948A1. Автор: Chen Yen-Yu,Lin Chun-Chih,Liao Han-Wen,Bih Shih Wei,Yan Xuan-You. Владелец: . Дата публикации: 2018-12-06.

Patterning method without using dummy gate

Номер патента: JP6630896B2. Автор: ジェイ. デヴィリアーズ,アントン,スミス,ジェフリー. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-01-15.

Dummy gate structures and manufacturing methods thereof

Номер патента: US20180122704A1. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-05-03.

Dummy Gate Electrode of Semiconductor Device

Номер патента: US20140349473A1. Автор: MING-CHING Chang,Chih-Han Lin,Jr-Jung LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-11-27.

Dummy gate for a high voltage transistor device

Номер патента: US20120098063A1. Автор: Han-Chung Lin,Yung-Chih Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-04-26.

REDUCING METAL GATE OVERHANG BY FORMING A TOP-WIDE BOTTOM-NARROW DUMMY GATE ELECTRODE

Номер патента: US20180102418A1. Автор: Chen Yen-Yu,Lin Chun-Chih,Liao Han-Wen,Bih Shih Wei,Yan Xuan-You. Владелец: . Дата публикации: 2018-04-12.

Reducing Metal Gate Overhang By Forming A Top-Wide Bottom-Narrow Dummy Gate Electrode

Номер патента: US20210280692A1. Автор: Chen Yen-Yu,Lin Chun-Chih,Liao Han-Wen,Bih Shih Wei,Yan Xuan-You. Владелец: . Дата публикации: 2021-09-09.

Dummy Gate for a High Voltage Transistor Device

Номер патента: US20160086859A1. Автор: Han-Chung Lin,Yung-Chih Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-03-24.

Dummy Gate for a High Voltage Transistor Device

Номер патента: US20140021558A1. Автор: Han-Chung Lin,Yung-Chih Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-01-23.

Dummy gate for a high voltage transistor device

Номер патента: US09508605B2. Автор: Han-Chung Lin,Yung-Chih Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

Reduction of oxide recesses for gate height control

Номер патента: US20140339642A1. Автор: Huey-Ming Wang,Tsung-Liang Chen,Hsin-Neng Tai. Владелец: Globalfoundries Inc. Дата публикации: 2014-11-20.

Reduction of oxide recesses for gate height control

Номер патента: US20150048446A1. Автор: Huey-Ming Wang,Tsung-Liang Chen,Hsin-Neng Tai. Владелец: Globalfoundries Inc. Дата публикации: 2015-02-19.

Fin field effect transistor including a single diffusion break with a multi-layer dummy gate

Номер патента: US20200066898A1. Автор: Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2020-02-27.

Fin field effect transistor including a single diffusion break with a multi-layer dummy gate

Номер патента: US20200066895A1. Автор: Kangguo Cheng. Владелец: International Business Machines Corp. Дата публикации: 2020-02-27.

Dummy Gate Cutting Process and Resulting Gate Structures

Номер патента: US20220173225A1. Автор: LIN SHIH-YAO,LIN Chih-Han,Ku Shu-Yuan,Jang Shu-Uei,Tsai Ya-Yi. Владелец: . Дата публикации: 2022-06-02.

Dummy Gate Cutting Process and Resulting Gate Structures

Номер патента: US20210126109A1. Автор: LIN SHIH-YAO,LIN Chih-Han,Ku Shu-Yuan,Jang Shu-Uei,Tsai Ya-Yi. Владелец: . Дата публикации: 2021-04-29.

DUMMY GATE STRUCTURE AND METHODS THEREOF

Номер патента: US20160365428A1. Автор: Chen Chao-Cheng,Liu Chia-Chu,Chen Kuei-Shun,Mu-Chi Chiang. Владелец: . Дата публикации: 2016-12-15.

Integration scheme for gate height control and void free rmg fill

Номер патента: US20180323113A1. Автор: Katsunori Onishi,Pei Liu,Chih-Chiang Chang,Suraj Kumar PATIL. Владелец: Globalfoundries Inc. Дата публикации: 2018-11-08.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20130175660A1. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-07-11.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20160005814A1. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-01-07.

FinFET with Dummy Gate on Non-Recessed Shallow Trench Isolation (STI)

Номер патента: US20160233133A1. Автор: Chi-Wen Liu,Chao-Hsiung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-11.

Dummy gate structure and methods thereof

Номер патента: US09947764B2. Автор: Chiang Mu-Chi,Chao-Cheng Chen,Chia-Chu Liu,Kuei-Shun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-17.

FinFET with dummy gate on non-recessed shallow trench isolation (STI)

Номер патента: US09754842B2. Автор: Chi-Wen Liu,Chao-Hsiung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-05.

Dummy gate structure for semiconductor devices

Номер патента: US09627475B2. Автор: Shih-Chi Fu,Chien-Chih Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-18.

GATE HEIGHT AND SPACER UNIFORMITY

Номер патента: US20180122710A1. Автор: Cheng Kangguo,Clevenger Lawrence A.,Zhang John,Pranatharthi Haran Balasubramanian S.. Владелец: . Дата публикации: 2018-05-03.

Gate height and spacer uniformity

Номер патента: US20180122710A1. Автор: Lawrence A. Clevenger,Kangguo Cheng,John Zhang,Balasubramanian S. Pranatharthi Haran. Владелец: International Business Machines Corp. Дата публикации: 2018-05-03.

METHOD INCLUDING A REPLACEMENT OF A DUMMY GATE STRUCTURE WITH A GATE STRUCTURE INCLUDING A FERROELECTRIC MATERIAL

Номер патента: US20160071947A1. Автор: Flachowsky Stefan,Wiatr Maciej. Владелец: . Дата публикации: 2016-03-10.

DUMMY GATE STRUCTURES AND MANUFACTURING METHODS THEREOF

Номер патента: US20180122704A1. Автор: Zhou Fei. Владелец: . Дата публикации: 2018-05-03.

INTEGRATED CIRCUITS AND METHODS OF FORMING THE SAME WITH EFFECTIVE DUMMY GATE CAP REMOVAL

Номер патента: US20160172251A1. Автор: Hempel Klaus,Triyoso Dina. Владелец: . Дата публикации: 2016-06-16.

Composite dummy Gate With conformal Polysilicon layer For FinFet Device

Номер патента: US20160181398A1. Автор: Hsieh Tzu-Yen,Chen Chao-Cheng,HUANG Yuan-Sheng,CHEN Ryan Chia-Jen,Chang Ming-Ching. Владелец: . Дата публикации: 2016-06-23.

Gate height control and ILD protection

Номер патента: US09923080B1. Автор: Stan Tsai,Andrew M. Greene,John R. Sporre,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2018-03-20.

Gate height control and ild protection

Номер патента: US20180219081A1. Автор: Stan Tsai,Andrew M. Greene,John R. Sporre,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2018-08-02.

Methods for forming integrated circuits that include a dummy gate structure

Номер патента: US20170345914A1. Автор: Jan Hoentschel,Sven Beyer,Elliot John Smith,Nigel Chan. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-30.

Method of removing dummy gate dielectric layer

Номер патента: US09570582B1. Автор: Bin Zhong,YU Bao,Jun Zhou,Haifeng Zhou,Xiaoqiang Zhou. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2017-02-14.

Dummy Gate Structure and Methods Thereof

Номер патента: US20200013874A1. Автор: Chen Chao-Cheng,Liu Chia-Chu,Chen Kuei-Shun,Mu-Chi Chiang. Владелец: . Дата публикации: 2020-01-09.

FIN FIELD EFFECT TRANSISTOR INCLUDING A SINGLE DIFFUSION BREAK WITH A MULTI-LAYER DUMMY GATE

Номер патента: US20200066895A1. Автор: Cheng Kangguo. Владелец: . Дата публикации: 2020-02-27.

FIN FIELD EFFECT TRANSISTOR INCLUDING A SINGLE DIFFUSION BREAK WITH A MULTI-LAYER DUMMY GATE

Номер патента: US20200066898A1. Автор: Cheng Kangguo. Владелец: . Дата публикации: 2020-02-27.

FinFET with Dummy Gate on Non-Recessed Shallow Trench Isolation (STI)

Номер патента: US20160233133A1. Автор: LIU Chi-Wen,Wang Chao-Hsiung. Владелец: . Дата публикации: 2016-08-11.

DUMMY GATE STRUCTURE AND METHODS THEREOF

Номер патента: US20180233582A1. Автор: Chen Chao-Cheng,Liu Chia-Chu,Chen Kuei-Shun,Mu-Chi Chiang. Владелец: . Дата публикации: 2018-08-16.

Uniform finFET gate height

Номер патента: US9245965B2. Автор: Shom Ponoth,Sanjay Mehta,Stefan Schmitz,Ravikumar Ramachandran,Theodorus E. Standaert,Balasubramanian S. Haran. Владелец: Globalfoundries Inc. Дата публикации: 2016-01-26.

Method to reduce FinFET short channel gate height

Номер патента: US10643900B2. Автор: Hong Yu,Xing Zhang,Zhenyu Hu,Xinyuan Dou. Владелец: Globalfoundries Inc. Дата публикации: 2020-05-05.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20180076325A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2018-03-15.

DUMMY GATE USED AS INTERCONNECTION AND METHOD OF MAKING THE SAME

Номер патента: US20170141110A1. Автор: Wang Wenhui,Sun Lei,Jang Linus,Nam Seowoo,Kim Ryan Ryoung-Han,CANTONE Jason. Владелец: . Дата публикации: 2017-05-18.

FINFET GATE CUT AFTER DUMMY GATE REMOVAL

Номер патента: US20190189517A1. Автор: Greene Andrew M.,Sporre John R.,Kanakasabapathy Siva,SAULNIER Nicole A.,Shearer Jeffrey. Владелец: . Дата публикации: 2019-06-20.

DUMMY GATE USED AS INTERCONNECTION AND METHOD OF MAKING THE SAME

Номер патента: US20160365288A1. Автор: Wang Wenhui,Sun Lei,Jang Linus,Nam Seowoo,Kim Ryan Ryoung-Han,CANTONE Jason. Владелец: . Дата публикации: 2016-12-15.

Dummy gate structure and methods thereof

Номер патента: US9431513B2. Автор: Chiang Mu-Chi,Chao-Cheng Chen,Chia-Chu Liu,Kuei-Shun Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Semiconductor device with reduced gate height budget

Номер патента: US20190027575A1. Автор: Hui Zang,Haigou Huang. Владелец: Globalfoundries Inc. Дата публикации: 2019-01-24.

Method for eliminating interlayer dielectric dishing and controlling gate height uniformity

Номер патента: US09589807B1. Автор: Huang Liu,Jinping Liu,Haigou Huang,Yuanfang Lu. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-07.

FinFET semiconductor device having increased gate height control

Номер патента: US09379135B2. Автор: Shom Ponoth,Raghavasimhan Sreenivasan,Kangguo Cheng,Ali Khakifirooz. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-28.

Semiconductor device with dummy gate structures

Номер патента: US9362275B1. Автор: Yu-Hao Chang,Chang-Li Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-07.

Semiconductor device with dummy gates in peripheral region

Номер патента: US11784231B2. Автор: Takahisa Kanemura. Владелец: Kioxia Corp. Дата публикации: 2023-10-10.

Two-Step Dummy Gate Formation

Номер патента: US20170338326A1. Автор: Chih-Hao Wang,Kuo-Cheng Ching,Ying-Keung Leung,Carlos H. Diaz,Kuan-Ting Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-23.

Dummy gate used as interconnection and method of making the same

Номер патента: US09595478B2. Автор: Lei Sun,Wenhui Wang,Ryan Ryoung-Han Kim,Seowoo Nam,Linus Jang,Jason CANTONE. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-14.

Two-step dummy gate formation

Номер патента: US09741821B1. Автор: Chih-Hao Wang,Kuo-Cheng Ching,Ying-Keung Leung,Carlos H. Diaz,Kuan-Ting Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-08-22.

Dummy Gate Electrode of Semiconductor Device

Номер патента: US20140349473A1. Автор: Chang Ming-Ching,LIN Chih-Han,Lin Jr-Jung. Владелец: . Дата публикации: 2014-11-27.

Active and dummy fin structures

Номер патента: US20210234034A1. Автор: Haiting Wang,Hong Yu,Yanping SHEN. Владелец: GlobalFoundries US Inc. Дата публикации: 2021-07-29.

Electronic device comprising conductive regions and dummy regions

Номер патента: US9461130B2. Автор: Leonardo Fragapane,Antonino Alessandria. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2016-10-04.

Electronic device comprising conductive regions and dummy regions

Номер патента: US20140197452A1. Автор: Leonardo Fragapane,Antonino Alessandria. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2014-07-17.

Electronic device comprising conductive regions and dummy regions

Номер патента: US20160111507A1. Автор: Leonardo Fragapane,Antonino Alessandria. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2016-04-21.

Electronic device comprising conductive regions and dummy regions

Номер патента: US9306029B2. Автор: Leonardo Fragapane,Antonino Alessandria. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2016-04-05.

Metal oxide semiconductor transistor with reduced gate height, and related fabrication methods

Номер патента: US7960229B2. Автор: Scott Luning,Rohit Pal,Frank Bin YANG. Владелец: Globalfoundries Inc. Дата публикации: 2011-06-14.

Logic Gate Designs for 3D Monolithic Direct Stacked VTFET

Номер патента: US20190326279A1. Автор: Chen Zhang,Tenko Yamashita,Terence B. Hook. Владелец: International Business Machines Corp. Дата публикации: 2019-10-24.

Logic Gate Designs for 3D Monolithic Direct Stacked VTFET

Номер патента: US20190229117A1. Автор: Chen Zhang,Tenko Yamashita,Terence B. Hook. Владелец: International Business Machines Corp. Дата публикации: 2019-07-25.

Ldmos fin-type field-effect transistors including a dummy gate

Номер патента: US20200135917A1. Автор: Jerome Ciavatti,Jagar Singh. Владелец: Globalfoundries Inc. Дата публикации: 2020-04-30.

Method of making a semiconductor device using a dummy gate

Номер патента: US20160104772A1. Автор: Nicolas Loubet,Prasanna Khare. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-04-14.

Method of making a semiconductor device using a dummy gate

Номер патента: US20180261674A1. Автор: Nicolas Loubet,Prasanna Khare. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-09-13.

Method of making a semiconductor device using a dummy gate

Номер патента: US20170040427A1. Автор: Nicolas Loubet,Prasanna Khare. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-02-09.

Method of making a semiconductor device using a dummy gate

Номер патента: US09991351B2. Автор: Nicolas Loubet,Prasanna Khare. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-06-05.

Method of making a semiconductor device using a dummy gate

Номер патента: US09905662B2. Автор: Nicolas Loubet,Prasanna Khare. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-02-27.

Method for Manufacturing Dummy Gate in Gate-Last Process and Dummy Gate in Gate-Last Process

Номер патента: US20140332958A1. Автор: Zhao Chao,Li Chunlong,Yan Jiang,Li Junfeng. Владелец: . Дата публикации: 2014-11-13.

NITRIDE LAYER PROTECTION BETWEEN PFET SOURCE/DRAIN REGIONS AND DUMMY GATE DURING SOURCE/DRAIN ETCH

Номер патента: US20160163859A1. Автор: ZHANG Qi,Wu Xusheng,PARK Jeasung,MO Hongxiang,MIN Byoung-Gi. Владелец: . Дата публикации: 2016-06-09.

Gate height and spacer uniformity

Номер патента: US09704991B1. Автор: Lawrence A. Clevenger,Kangguo Cheng,John Zhang,Balasubramanian S. Pranatharthi Haran. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Method for reducing gate height variation due to overlapping masks

Номер патента: US20160163830A1. Автор: Huang Liu,Hong Yu,Haigou Huang,Jin Ping Liu. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-09.

GATE HEIGHT CONTROL AND ILD PROTECTION

Номер патента: US20180219081A1. Автор: Xie Ruilong,Greene Andrew M.,Sporre John R.,Tsai Stan. Владелец: . Дата публикации: 2018-08-02.

SEMICONDUCTOR STRUCTURE WITH GATE HEIGHT SCALING

Номер патента: US20180233580A1. Автор: Xie Ruilong,Zhang John H.,Tsai Stan. Владелец: . Дата публикации: 2018-08-16.

Semiconductor devices with uniform gate height and method of forming same

Номер патента: US20200388707A1. Автор: Hui Zang,Xiaoxiao Zhang,Yanping SHEN,Shesh Mani Pandey. Владелец: GlobalFoundries US Inc. Дата публикации: 2020-12-10.

GATE CUT ISOLATION FORMED AS LAYER AGAINST SIDEWALL OF DUMMY GATE MANDREL

Номер патента: US20200161296A1. Автор: Xie Ruilong,Economikos Laertis,Zang Hui. Владелец: . Дата публикации: 2020-05-21.

DUMMY GATE FORMATION USING SPACER PULL DOWN HARDMASK

Номер патента: US20170323951A1. Автор: Cheng Kangguo,Bergendahl Marc A.,LIE Fee Li,Miller Eric R.,Teehan Sean,Sporre John R.. Владелец: . Дата публикации: 2017-11-09.

METHODS FOR FORMING INTEGRATED CIRCUITS THAT INCLUDE A DUMMY GATE STRUCTURE

Номер патента: US20170345914A1. Автор: Hoentschel Jan,Beyer Sven,Smith Elliot John,Chan Nigel. Владелец: . Дата публикации: 2017-11-30.

Gate cut isolation formed as layer against sidewall of dummy gate mandrel

Номер патента: US10707206B2. Автор: Hui Zang,Laertis Economikos,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2020-07-07.

Threshold voltage modulation by gate height variation

Номер патента: US20240014256A1. Автор: Chih-Hao Chang,Chih-Pin TSAO,Ta-Chun Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-11.

SEMICONDUCTOR DEVICE WITH REDUCED GATE HEIGHT BUDGET

Номер патента: US20190027575A1. Автор: Zang Hui,Huang Haigou. Владелец: . Дата публикации: 2019-01-24.

Method to increase effective gate height

Номер патента: US20190362978A1. Автор: Andrew M. Greene,Ruilong Xie,Heimanu Niebojewski. Владелец: Globalfoundries Inc. Дата публикации: 2019-11-28.

FINFET STRUCTURE WITH BULBOUS UPPER INSULATIVE CAP PORTION TO PROTECT GATE HEIGHT, AND RELATED METHOD

Номер патента: US20190363180A1. Автор: Xie Ruilong,Shu Jiehui,Zang Hui. Владелец: . Дата публикации: 2019-11-28.

SEMICONDUCTOR DEVICE WITH REDUCED GATE HEIGHT BUDGET

Номер патента: US20190371905A1. Автор: Zang Hui,Huang Haigou. Владелец: . Дата публикации: 2019-12-05.

FinFET structure with bulbous upper insulative cap portion to protect gate height, and related method

Номер патента: US10629707B2. Автор: Hui Zang,Ruilong Xie,Jiehui SHU. Владелец: Globalfoundries Inc. Дата публикации: 2020-04-21.

Dummy Gate for a High Voltage Transistor Device

Номер патента: US20140021558A1. Автор: Tsai Yung-Chih,LIN Han-Chung. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-01-23.

DUMMY GATE PLACEMENT METHODOLOGY TO ENHANCE INTEGRATED CIRCUIT PERFORMANCE

Номер патента: US20170062582A1. Автор: BALDWIN Gregory Charles,Ekbote Shashank,CHOI Younsung. Владелец: . Дата публикации: 2017-03-02.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20210074858A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2021-03-11.

DUMMY GATE STRUCTURE AND METHODS THEREOF

Номер патента: US20160093715A1. Автор: Chen Chao-Cheng,Liu Chia-Chu,Chen Kuei-Shun,Mu-Chi Chiang. Владелец: . Дата публикации: 2016-03-31.

METHOD OF MAKING A SEMICONDUCTOR DEVICE USING A DUMMY GATE

Номер патента: US20160104772A1. Автор: Loubet Nicolas,Khare Prasanna. Владелец: . Дата публикации: 2016-04-14.

HARD MASK LAYER TO REDUCE LOSS OF ISOLATION MATERIAL DURING DUMMY GATE REMOVAL

Номер патента: US20180122644A1. Автор: Kim Hoon,Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2018-05-03.

DUMMY GATE ISOLATION AND METHOD OF PRODUCTION THEREOF

Номер патента: US20200127013A1. Автор: Yang Heng,Pritchard David,REN Hongru. Владелец: . Дата публикации: 2020-04-23.

LDMOS FIN-TYPE FIELD-EFFECT TRANSISTORS INCLUDING A DUMMY GATE

Номер патента: US20200135917A1. Автор: Ciavatti Jerome,SINGH Jagar. Владелец: . Дата публикации: 2020-04-30.

DUMMY GATE PLACEMENT METHODOLOGY TO ENHANCE INTEGRATED CIRCUIT PERFORMANCE

Номер патента: US20150187585A1. Автор: BALDWIN Gregory Charles,Ekbote Shashank,CHOI Younsung. Владелец: . Дата публикации: 2015-07-02.

FINFET GATE CUT AFTER DUMMY GATE REMOVAL

Номер патента: US20200243648A1. Автор: Greene Andrew M.,Sporre John R.,Kanakasabapathy Siva,SAULNIER Nicole A.,Shearer Jeffrey. Владелец: TESSERA, INC.. Дата публикации: 2020-07-30.

METHOD OF MAKING A SEMICONDUCTOR DEVICE USING A DUMMY GATE

Номер патента: US20140353716A1. Автор: Loubet Nicolas,Khare Prasanna. Владелец: . Дата публикации: 2014-12-04.

METHODS OF TRANSISTOR GATE STRUCTURING USING SINGLE OPERATION DUMMY GATE REMOVAL

Номер патента: US20190393041A1. Автор: Then Han Wui,Radosavljevic Marko,DASGUPTA Sansaptak,Hafez Walid,FISCHER Paul. Владелец: . Дата публикации: 2019-12-26.

Method for manufacturing peripheral dummy gate of transistor for testing semiconductor device

Номер патента: KR100223941B1. Автор: 남상혁. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method for supervising after polycrystalline silicon dummy gate removes

Номер патента: CN102842518B. Автор: 杨涛,赵超,陈大鹏,李俊峰,闫江. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-03-23.

Backside power deliver network connection through dummy gates

Номер патента: US20240079476A1. Автор: Tao Li,Ruilong Xie,Heng Wu,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2024-03-07.

Gate cut structures formed before dummy gate

Номер патента: US20230282483A1. Автор: Tahir Ghani,Allen B. Gardiner,Leonard P. GULER,Sairam Subramanian,Madeleine Beasley,Aryan Navabi Shirazi. Владелец: Intel Corp. Дата публикации: 2023-09-07.

Gate cut structures formed before dummy gate

Номер патента: EP4239666A1. Автор: Tahir Ghani,Allen GARDINER,Leonard GULER,Sairam Subramanian,Madeleine Beasley,Aryan SHIRAZI. Владелец: Intel Corp. Дата публикации: 2023-09-06.

Finfet gate cut after dummy gate removal

Номер патента: US20200243648A1. Автор: Andrew M. Greene,John R. Sporre,Jeffrey Shearer,Nicole A. Saulnier,Siva Kanakasabapathy. Владелец: Tessera LLC. Дата публикации: 2020-07-30.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09865733B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2018-01-09.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09673326B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2017-06-06.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09502529B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2016-11-22.

Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Номер патента: US09419096B2. Автор: Yasushi Tateshita. Владелец: Sony Corp. Дата публикации: 2016-08-16.

Methods for polymer coefficient of thermal expansion (cte) tuning by microwave curing

Номер патента: SG11201811297YA. Автор: XIN Wang,Rui Wang,Yueh Sheng Ow,Tuck Foong Koh. Владелец: Applied Materials Inc. Дата публикации: 2019-01-30.

Method for Manufacturing Dummy Gate in Gate-Last Process and Dummy Gate in Gate-Last Process

Номер патента: US20150035087A1. Автор: Zhao Chao,Li Chunlong,Yan Jiang,Li Junfeng. Владелец: . Дата публикации: 2015-02-05.

Two-Step Dummy Gate Formation

Номер патента: US20170338326A1. Автор: Wang Chih-hao,Ching Kuo-Cheng,DIAZ Carlos H.,PAN Kuan-Ting,Leung Ying-Keung. Владелец: . Дата публикации: 2017-11-23.

Semiconductor device having dummy gate and gate

Номер патента: KR20140132179A. Автор: 이기태,김한구,강희수,이재곤,서우진,권은경,전찬희. Владелец: 삼성전자주식회사. Дата публикации: 2014-11-17.

Dummy gate for a high voltage transistor device

Номер патента: CN103178097A. Автор: 曾华洲,谢孟纬. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-06-26.

Dummy gate for a high voltage transistor device

Номер патента: US9711593B2. Автор: Hua-Chou Tseng,Meng-Wei Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-18.

Semiconductor device with dummy gate structures and manufacturing method thereof

Номер патента: TWI594366B. Автор: 張祐豪,林昌立. Владелец: 台灣積體電路製造股份有限公司. Дата публикации: 2017-08-01.

Mask set having feature patterns and dummy patterns

Номер патента: US20160131969A1. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2016-05-12.

Semiconductor substrate with functional circuit structures and dummy structures

Номер патента: US20020089030A1. Автор: Sabine Kling. Владелец: Individual. Дата публикации: 2002-07-11.

Dummy gate placement methodology to enhance integrated circuit performance

Номер патента: US09947765B2. Автор: Shashank Ekbote,Gregory Charles Baldwin,Younsung Choi. Владелец: Texas Instruments Inc. Дата публикации: 2018-04-17.

Dummy gate placement methodology to enhance integrated circuit performance

Номер патента: US09496142B2. Автор: Shashank Ekbote,Gregory Charles Baldwin,Younsung Choi. Владелец: Texas Instruments Inc. Дата публикации: 2016-11-15.

VERTICAL THIN FILM TRANSISTOR STRUCTURES WITH LOCALIZED GATE DIELECTRIC

Номер патента: US20200388711A1. Автор: Pillarisetty Ravi,Doyle Brian,Majhi Prashant,HOURANI Rami,Sharma Abhishek,KARPOV Elijah. Владелец: . Дата публикации: 2020-12-10.

ELECTRONIC DEVICE COMPRISING CONDUCTIVE REGIONS AND DUMMY REGIONS

Номер патента: US20160111507A1. Автор: Fragapane Leonardo,Alessandria Antonino. Владелец: STMICROELECTRONICS S.R.L.. Дата публикации: 2016-04-21.

ELECTRONIC DEVICE COMPRISING CONDUCTIVE REGIONS AND DUMMY REGIONS

Номер патента: US20140197452A1. Автор: Fragapane Leonardo,Alessandria Antonino. Владелец: STMICROELECTRONICS S.R.L.. Дата публикации: 2014-07-17.

The design method of semiconductor structure and dummy pattern layout

Номер патента: CN109411465A. Автор: 林建男,卢瑞发,叶璟桦. Владелец: United Microelectronics Corp. Дата публикации: 2019-03-01.

Ldmos using a combination of enhanced dielectric stress layer and dummy gates

Номер патента: SG156621A1. Автор: ZHANG Guowei,Li Yisuo,PURAKH Raj Verma,Sanford Chu. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2009-11-26.

INTEGRATION SCHEME FOR GATE HEIGHT CONTROL AND VOID FREE RMG FILL

Номер патента: US20180323113A1. Автор: CHANG Chih-Chiang,PATIL Suraj Kumar,LIU Pei,ONISHI Katsunori. Владелец: . Дата публикации: 2018-11-08.

Logic Gate Designs for 3D Monolithic Direct Stacked VTFET

Номер патента: US20190229117A1. Автор: Chen Zhang,Tenko Yamashita,Terence B. Hook. Владелец: International Business Machines Corp. Дата публикации: 2019-07-25.

Logic Gate Designs for 3D Monolithic Direct Stacked VTFET

Номер патента: US20190326279A1. Автор: Yamashita Tenko,Hook Terence B.,Zhang Chen. Владелец: . Дата публикации: 2019-10-24.

METHOD OF MAKING A SEMICONDUCTOR DEVICE USING A DUMMY GATE

Номер патента: US20170040427A1. Автор: Loubet Nicolas,Khare Prasanna. Владелец: . Дата публикации: 2017-02-09.

FIELD-EFFECT TRANSISTOR (FET) DEVICES EMPLOYING ADJACENT ASYMMETRIC ACTIVE GATE / DUMMY GATE WIDTH LAYOUT

Номер патента: US20180061943A1. Автор: CHOI Youn Sung,Roh Ukjin,Ekbote Shashank. Владелец: . Дата публикации: 2018-03-01.

METHOD OF MAKING A SEMICONDUCTOR DEVICE USING A DUMMY GATE

Номер патента: US20180261674A1. Автор: Loubet Nicolas,Khare Prasanna. Владелец: . Дата публикации: 2018-09-13.

Insulated gate field-effet transistor having a dummy gate

Номер патента: WO2007042850A1. Автор: Denis Masliah. Владелец: ACCO. Дата публикации: 2007-04-19.

Semiconductor device with dummy gate electrode and corresponding integrated circuit and manufacturing method

Номер патента: WO2009144641A1. Автор: Jan Sonsky,Almudena Huerta. Владелец: NXP B.V.. Дата публикации: 2009-12-03.

Integrated device comprising transistor coupled to a dummy gate contact

Номер патента: WO2021194981A1. Автор: Xia Li,Bin Yang,Haining Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2021-09-30.

Integrated device comprising transistor coupled to a dummy gate contact

Номер патента: US20210305250A1. Автор: Xia Li,Bin Yang,Haining Yang. Владелец: Qualcomm Inc. Дата публикации: 2021-09-30.

Integrated device comprising transistor coupled to a dummy gate contact

Номер патента: EP4128338A1. Автор: Xia Li,Bin Yang,Haining Yang. Владелец: Qualcomm Inc. Дата публикации: 2023-02-08.

Lateral power MOSFET with improved gate design

Номер патента: US6084277A. Автор: Alex B. Djenguerian,Donald R. Disney. Владелец: Power Integrations Inc. Дата публикации: 2000-07-04.

Lateral DMOS Device with Dummy Gate

Номер патента: US20200035826A1. Автор: Chi-Chih Chen,Ruey-Hsin Liu,Jun Cai,Hsueh-Liang Chou,Chun-Wai Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

Lateral DMOS Device with Dummy Gate

Номер патента: US20170005193A1. Автор: Chi-Chih Chen,Ruey-Hsin Liu,Jun Cai,Hsueh-Liang Chou,Chun-Wai Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-05.

Lateral DMOS device with dummy gate

Номер патента: US09871133B2. Автор: Chi-Chih Chen,Ruey-Hsin Liu,Jun Cai,Hsueh-Liang Chou,Chun-Wai Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Lateral DMOS device with dummy gate

Номер патента: US09450056B2. Автор: Chi-Chih Chen,Ruey-Hsin Liu,Jun Cai,Hsueh-Liang Chou,Chun-Wai Ng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-20.

REDUCING GATE HEIGHT VARIATION IN RMG PROCESS

Номер патента: US20150111373A1. Автор: Ponoth Shom,STANDAERT Theodorus E.,Xie Ruilong,Economikos Laertis,Surisetty Charan V.,Cote William J.. Владелец: . Дата публикации: 2015-04-23.

METHOD TO REDUCE FINFET SHORT CHANNEL GATE HEIGHT

Номер патента: US20180330995A1. Автор: Zhang Xing,Yu Hong,Dou Xinyuan,HU Zhenyu. Владелец: . Дата публикации: 2018-11-15.

Semiconductor device having dummy gates and its manufacturing method

Номер патента: US7049185B2. Автор: Kazuyuki Ito. Владелец: NEC Electronics Corp. Дата публикации: 2006-05-23.

Controlling gate formation by removing dummy gate structures

Номер патента: US7432179B2. Автор: Harry Chuang,Kong-Beng Thei. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-10-07.

Method and composition for polishing by CMP

Номер патента: US6530824B2. Автор: Terence M. Thomas,Qianqiu Christine Ye,Joseph K. So,Wendy B. Goldberg,Wade Godfrey. Владелец: Rodel Holdings Inc. Дата публикации: 2003-03-11.

Method and composition for polishing by cmp

Номер патента: EP1380048A1. Автор: Terence M. Thomas,Joseph K. So,Wendy B. Goldberg,Wade Godfrey,Qianquiu Ye. Владелец: Rodel Holdings Inc. Дата публикации: 2004-01-14.

Method and composition for polishing by CMP

Номер патента: TW541223B. Автор: Qianqiu Ye,Terence Thomas,Wade Godfrey,Wendy B Goldberg,Joseph K So. Владелец: Rodel Inc. Дата публикации: 2003-07-11.

Method and composition for polishing by CMP

Номер патента: US20020146965A1. Автор: Qianqiu Ye,Joseph So,Terence Thomas,Wade Godfrey,Wendy Goldberg. Владелец: Rodel Holdings Inc. Дата публикации: 2002-10-10.

Method and composition for polishing by cmp

Номер патента: WO2002073681A1. Автор: Terence M. Thomas,Joseph K. So,Wendy B. Goldberg,Wade Godfrey,Qianquiu Ye. Владелец: Rodel Holdings, Inc.. Дата публикации: 2002-09-19.

NAND Flash Memory Integrated Circuits and Processes with Controlled Gate Height

Номер патента: US20150380420A1. Автор: Okazaki Susumu,FUTASE TAKUYA,Toyama Fumiaki,Fujikura Eiichi,Koketsu Hiroaki. Владелец: . Дата публикации: 2015-12-31.

SUSPENSION FOR CHEMICAL MECHANICAL PLANARIZATION (CMP) AND METHOD EMPLOYING THE SAME

Номер патента: US20220306902A1. Автор: ALETY Sridevi R.,CYFFKA Mark,MAHADEV Niraj. Владелец: . Дата публикации: 2022-09-29.

Slurry for Cu CMP and Method of forming Cu interconnection line

Номер патента: KR100856542B1. Автор: 김재정,강민철. Владелец: 재단법인서울대학교산학협력재단. Дата публикации: 2008-09-04.

Self-siphoning cmp tool design for application such as copper cmp and low-k dielectric cmp

Номер патента: SG104983A1. Автор: Roy Sudipto. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2004-07-30.

METHOD FOR FORMING DUMMY GATE

Номер патента: US20140170842A1. Автор: Kawada Shinji,NORO Motoki,LIN Tai-Chuan. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-06-19.

Second step polishing by CMP

Номер патента: US20030037697A1. Автор: Jinru Bian. Владелец: Rodel Holdings Inc. Дата публикации: 2003-02-27.

Second step polishing by CMP

Номер патента: TW559930B. Автор: Jinru Bian. Владелец: Rodel Inc. Дата публикации: 2003-11-01.

GATE HEIGHT UNIFORMITY IN SEMICONDUCTOR DEVICES

Номер патента: US20150084131A1. Автор: Pal Rohit,Lee Tae Hoon,Liu Hung-Wei,Srivathanakul Songkram,Chen Tsung-Liang,TAI Hsin-Neng,WANG Huey-Ming,CHEN Danni. Владелец: . Дата публикации: 2015-03-26.

Cross-coupled gate design for stacked device with separated top-down gate

Номер патента: US20220246610A1. Автор: Seunghyun Song,Saehan Park,Seungyoung Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-08-04.

Compositions for insulator and metal CMP and methods relating thereto

Номер патента: US6443812B1. Автор: Wesley D. Costas,James Shen. Владелец: Rodel Holdings Inc. Дата публикации: 2002-09-03.

Polishing slurry for copper CMP and method of manufacturing semiconductor device using the same

Номер патента: JP3692067B2. Автор: 学 南幅,博之 矢野. Владелец: Toshiba Corp. Дата публикации: 2005-09-07.

Polishing slurry for cmp, and polishing method

Номер патента: US20090209104A1. Автор: Tadahiro Kimura. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2009-08-20.

Polishing slurry for CMP, and polishing method

Номер патента: US8778217B2. Автор: Tadahiro Kimura. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2014-07-15.

Metal slurry for cmp and metal cmp method using the same

Номер патента: KR100672940B1. Автор: 홍창기,이재동,김성준,박정헌. Владелец: 삼성전자주식회사. Дата публикации: 2007-01-24.

Abrasive slurry composition for sti cmp and method for preparing thereof

Номер патента: KR102290191B1. Автор: 최낙현,황준하,박광수,최수완. Владелец: 주식회사 케이씨텍. Дата публикации: 2021-08-19.

Semiconductor device and manufacturing method thereof

Номер патента: US20210057409A1. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Ya-Chen Kao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-25.

HALITE SALTS AS SILICON CARBIDE ETCHING AGENTS TO INCREASE THE SPEED OF MATERIAL REMOVAL BY CMP FOR A SIC WAFER

Номер патента: FR3067034B1. Автор: Treliant Fang. Владелец: Treliant Fang. Дата публикации: 2019-12-20.

Overlay mark dependent dummy fill to mitigate gate height variation

Номер патента: US20150287651A1. Автор: Guoxiang Ning,Paul Ackmann,Jung Yu Hsieh,Hui Peng Koh,Chan Seob Cho. Владелец: Globalfoundries Inc. Дата публикации: 2015-10-08.

OVERLAY MARK DEPENDENT DUMMY FILL TO MITIGATE GATE HEIGHT VARIATION

Номер патента: US20150287651A1. Автор: Ning Guoxiang,Ackmann Paul,Koh Hui Peng,CHO Chan Seob,HSIEH Jung Yu. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-10-08.

UNIFORM GATE HEIGHT FOR MIXED-TYPE NON-PLANAR SEMICONDUCTOR DEVICES

Номер патента: US20150364336A1. Автор: Liu Huang,Yu Hong,Huang Haigou,Liu Jin Ping. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-12-17.

METHODS FOR POLYMER COEFFICIENT OF THERMAL EXPANSION (CTE) TUNING BY MICROWAVE CURING

Номер патента: US20170365490A1. Автор: WANG Xin,Wang Rui,Ow Yueh Sheng,KOH TUCK FOONG. Владелец: . Дата публикации: 2017-12-21.

Methods for polymer coefficient of thermal expansion (cte) tuning by microwave curing

Номер патента: SG10202012382RA. Автор: XIN Wang,Rui Wang,Yueh Sheng Ow,Tuck Foong Koh. Владелец: Applied Materials Inc. Дата публикации: 2021-01-28.

Gapfill film modification for advanced cmp and recess flow

Номер патента: US20170117157A1. Автор: Srinivas D. Nemani,Erica Chen,Ludovic Godet,Ellie Y. Yieh. Владелец: Applied Materials Inc. Дата публикации: 2017-04-27.

Suspension for chemical mechanical planarization (cmp) and method employing the same

Номер патента: EP4314179A1. Автор: Sridevi R. ALETY,Mark CYFFKA,Niraj Mahadev. Владелец: Entegris Inc. Дата публикации: 2024-02-07.

POLISHING SLURRY FOR CMP AND POLISHING METHOD

Номер патента: US20140065825A1. Автор: Shinoda Takashi,Sakurada Takafumi,Kimura Tadahiro,NOBE Shigeru,Oomori Yoshikazu. Владелец: HITACHI CHEMICAL CO., LTD.. Дата публикации: 2014-03-06.

Gapfill film modification for advanced cmp and recess flow

Номер патента: US20170117157A1. Автор: Srinivas D. Nemani,Erica Chen,Ludovic Godet,Ellie Y. Yieh. Владелец: Applied Materials Inc. Дата публикации: 2017-04-27.

POLISHING LIQUID FOR CMP, POLISHING LIQUID SET FOR CMP, AND POLISHING METHOD

Номер патента: US20170200617A1. Автор: YOSHIKAWA Shigeru,Shinoda Takashi,TANAKA Takaaki,Oota Munehiro. Владелец: . Дата публикации: 2017-07-13.

SLURRY COMPOSITION FOR CMP AND POLISHING METHOD USING SAME

Номер патента: US20180230334A1. Автор: LEE Seung Hyun,LEE Su Jin,Lee Seung Hun,Kim Seong Hwan. Владелец: . Дата публикации: 2018-08-16.

POLISHING SLURRY FOR CMP AND POLISHING METHOD

Номер патента: US20170267895A9. Автор: Shinoda Takashi,Sakurada Takafumi,Kimura Tadahiro,NOBE Shigeru,Oomori Yoshikazu. Владелец: HITACHI CHEMICAL COMPANY, LTD.. Дата публикации: 2017-09-21.

POLISHING SLURRY FOR CMP AND POLISHING METHOD

Номер патента: US20150315419A1. Автор: Shinoda Takashi,Sakurada Takafumi,Kimura Tadahiro,NOBE Shigeru,Oomori Yoshikazu. Владелец: HITACHI CHEMICAL COMPANY, LTD.. Дата публикации: 2015-11-05.

Polishing solution for cmp and polishing method

Номер патента: SG176255A1. Автор: Takashi Shinoda,Mamiko Kanamaru,Tomokazu Shimada. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2012-01-30.

Slurry for using CMP and CMP method

Номер патента: TWI254739B. Автор: Gaku Minamihaba,Hiroyuki Yano. Владелец: Toshiba Corp. Дата публикации: 2006-05-11.

Polising slurry for chemical mechanical polishing (cmp) and polishing method

Номер патента: TWI350564B. Автор: Takashi Shinoda,Shigeru Nobe,Takaaki Tanaka. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2011-10-11.

Slurry for CMP and method of polishing substrate using same

Номер патента: US20050252092A1. Автор: Yong Kim,Dae Kim,Seok Hong,Jae Jeon,Ho Kim,Hyun Park,Un Paik,Jae Park. Владелец: KC Tech Co Ltd. Дата публикации: 2005-11-17.

Slurry for CMP and CMP method

Номер патента: US20020148997A1. Автор: Gaku Minamihaba,Hiroyuki Yano. Владелец: Toshiba Corp. Дата публикации: 2002-10-17.

Ceria Abrasives for CMP and Methods of Fabricating the Same

Номер патента: KR100539983B1. Автор: 백운규,박재근,김상균,가토다케오,박용국. Владелец: 학교법인 한양학원. Дата публикации: 2006-01-10.

Slurry for CMP and method of producing the same

Номер патента: TWI283008B. Автор: Dae-Hyeong Kim,Seok-Min Hong,Jae-Hyun Jeon,Ho-Seong Kim,Hyun-Soo Park. Владелец: Iucf Hyu. Дата публикации: 2007-06-21.

Polishing liquid for CMP and polishing method using the same

Номер патента: JPWO2016140246A1. Автор: 祥晃 栗原,酒井 政則,政則 酒井. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2017-12-07.

Polishing solution for cmp and polishing method

Номер патента: KR101330956B1. Автор: 다카시 시노다,마미코 가나마루,도모카즈 시마다. Владелец: 히타치가세이가부시끼가이샤. Дата публикации: 2013-11-18.

Polishing solution for cmp and polishing method

Номер патента: WO2011021599A1. Автор: 隆 篠田,真美子 金丸,友和 嶌田. Владелец: 日立化成工業株式会社. Дата публикации: 2011-02-24.

Slurry composition for cmp, and polishing method

Номер патента: EP2489714A4. Автор: Seung-Beom Cho,Dong-Mok Shin,Eun-Mi Choi. Владелец: LG Chem Ltd. Дата публикации: 2014-08-13.

Slurry composition for CMP, and polishing method

Номер патента: US8822339B2. Автор: Seung-Beom Cho,Dong-Mok Shin,Eun-Mi Choi. Владелец: LG Chem Ltd. Дата публикации: 2014-09-02.

Slurry for using cmp and cmp method

Номер патента: KR100369762B1. Автор: 미나미하바가꾸,야노히로유끼. Владелец: 가부시끼가이샤 도시바. Дата публикации: 2003-01-29.

Polishing liquid for cmp and polishing method using the same

Номер патента: WO2011081109A1. Автор: 宗宏 太田,英一 佐藤,真之 花野,環司 茅根. Владелец: 日立化成工業株式会社. Дата публикации: 2011-07-07.

Polishing liquid for cmp and polishing method

Номер патента: US20110027997A1. Автор: Jin Amanokura,Takashi Shinoda,Mamiko Kanamaru,Takaaki Tanaka. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2011-02-03.

Polishing liquid for cmp and polishing method using the same

Номер патента: TW201137098A. Автор: Masayuki Hanano,Munehiro Oota,Eiichi Satou,Kanshi Chinone. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2011-11-01.

Slurry for CMP and CMP method

Номер патента: JP3523107B2. Автор: 学 南幅,博之 矢野. Владелец: Toshiba Corp. Дата публикации: 2004-04-26.

Slurry for CMP and CMP method

Номер патента: US6444139B1. Автор: Gaku Minamihaba,Hiroyuki Yano. Владелец: Toshiba Corp. Дата публикации: 2002-09-03.

Slurry for CMP and CMP method

Номер патента: US7364667B2. Автор: Gaku Minamihaba,Hiroyuki Yano. Владелец: Toshiba Corp. Дата публикации: 2008-04-29.

Polishing liquid for cmp and polishing method using same

Номер патента: WO2016021325A1. Автор: 宗宏 太田,奈央 山村,寿夫 瀧澤,愛子 木野,吉川 茂. Владелец: 日立化成株式会社. Дата публикации: 2016-02-11.

Polishing liquid for cmp and method of polishing

Номер патента: EP2020680A1. Автор: Takashi Shinoda,Shigeru Nobe,Takaaki Tanaka. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2009-02-04.

Polishing liquid for cmp and method of polishing

Номер патента: EP2020680A4. Автор: Takashi Shinoda,Shigeru Nobe,Takaaki Tanaka. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2011-09-21.

Slurry composition for cmp and the polishing particle therein

Номер патента: KR102275429B1. Автор: 김수연. Владелец: 주식회사 케이씨텍. Дата публикации: 2021-07-12.

Slurry composition for CMP and polishing method using the same

Номер патента: CN108026434B. Автор: 李昇炫,李昇勋,金胜焕,李秀珍. Владелец: Youngchang Chemical Co Ltd. Дата публикации: 2020-10-13.

Slurry composition for cmp and the polishing particle therein

Номер патента: KR20200038437A. Автор: 김수연. Владелец: 주식회사 케이씨텍. Дата публикации: 2020-04-13.

Slurry for cmp and method of polishing substrate using same

Номер патента: CN100445343C. Автор: 金容国,朴在勤,白云揆,金大亨,洪锡敏,全宰贤,朴炫洙,金皓性. Владелец: Hanyang Hak Won Co Ltd. Дата публикации: 2008-12-24.

Semiconductor to keep gradation uniformly after CMP and its manufacturing method

Номер патента: KR100570233B1. Автор: 신충식. Владелец: 동부아남반도체 주식회사. Дата публикации: 2006-04-11.

Electrical-free dummy gate

Номер патента: US20130256809A1. Автор: Chiang Mu-Chi,Kuei Shun Chen,Chia-Chu Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-03.

DUMMY GATE INTERCONNECT FOR SEMICONDUCTOR DEVICE

Номер патента: US20140191295A1. Автор: Yu Xiaojun,Greene Brian J.,Liang Yue. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-07-10.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20160359042A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2016-12-08.

Electrical-free dummy gate

Номер патента: US8735994B2. Автор: Chiang Mu-Chi,Kuei Shun Chen,Chia-Chu Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-05-27.

External-cavity laser tuned by physically-deformable distributed Bragg reflector

Номер патента: US20050152428A1. Автор: Ian Hardcastle,Annette Grot. Владелец: Individual. Дата публикации: 2005-07-14.

Three-dimensional semiconductor memory devices including stair structures and dummy electrodes

Номер патента: US10332611B2. Автор: Kwang-Soo Kim,Heonkyu Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-06-25.

Dummy panel transport apparatus and dummy panel transport method using the same

Номер патента: US20230215748A1. Автор: Eun Su Jun,Kang-Hyuk Lee. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-07-06.

Method of Bonding Active Dies and Dummy Dies and Structures Thereof

Номер патента: US20230275031A1. Автор: Ming-Fa Chen,Sung-Feng Yeh,Chih-Chia Hu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-31.

Heat treatment apparatus and dummy substrate processing method

Номер патента: US12027384B2. Автор: Yoshihiro Takezawa,Yutaka Motoyama. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-02.

Integrated device packages with integrated device die and dummy element

Номер патента: US12046569B2. Автор: Belgacem Haba. Владелец: Adeia Semiconductor Bonding Technologies Inc. Дата публикации: 2024-07-23.

HYBRID BONDING USING DUMMY BONDING CONTACTS AND DUMMY INTERCONNECTS

Номер патента: US20200243455A1. Автор: WANG TAO,CHEN Jun,Zhu Jifeng,Hu Si Ping,Wang Jia Wen,Huang Shi Qi,Hua Zi Qun. Владелец: . Дата публикации: 2020-07-30.

HYBRID BONDING USING DUMMY BONDING CONTACTS AND DUMMY INTERCONNECTS

Номер патента: US20200335450A1. Автор: WANG TAO,CHEN Jun,Zhu Jifeng,Hu Si Ping,Wang Jia Wen,Huang Shi Qi,Hua Zi Qun. Владелец: . Дата публикации: 2020-10-22.

Dummy portion removing unit and dummy portion removing method using the same

Номер патента: CN111883454A. Автор: 徐正欢,张喜童,金善根. Владелец: Top Engineering Co Ltd. Дата публикации: 2020-11-03.

Integrated device packages with integrated device die and dummy element

Номер патента: US20230420398A1. Автор: Belgacem Haba. Владелец: Adeia Semiconductor Bonding Technologies Inc. Дата публикации: 2023-12-28.

Heat treatment apparatus and dummy substrate processing method

Номер патента: US20220148893A1. Автор: Yoshihiro Takezawa,Yutaka Motoyama. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-05-12.

Method for reusing the test and dummy wafer

Номер патента: KR100627561B1. Автор: 서병윤. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-09-21.

Semiconductor device with layout of wiring layer and dummy patterns

Номер патента: US9508650B2. Автор: Michio Inoue,Yorio Takada. Владелец: Longitude Semiconductor SARL. Дата публикации: 2016-11-29.

Semiconductor device with layout of wiring layer and dummy patterns

Номер патента: US9502354B2. Автор: Michio Inoue,Yorio Takada. Владелец: Longitude Semiconductor SARL. Дата публикации: 2016-11-22.

Semiconductor device and dummy pattern arrangement method thereof

Номер патента: JP4136684B2. Автор: 学 井口,匡 深瀬,明 松本. Владелец: NEC Electronics Corp. Дата публикации: 2008-08-20.

Semiconductor device and dummy pattern placing method

Номер патента: TW584929B. Автор: Hiroshi Kawashima,Masakazu Okada,Takeshi Kitani,Motoshige Igarashi. Владелец: Mitsubishi Electric Corp. Дата публикации: 2004-04-21.

REDUCTION OF OXIDE RECESSES FOR GATE HEIGHT CONTROL

Номер патента: US20140339642A1. Автор: Chen Tsung-Liang,TAI Hsin-Neng,WANG Huey-Ming. Владелец: . Дата публикации: 2014-11-20.

Insulator void aspect ratio tuning by selective deposition

Номер патента: US09607881B2. Автор: Hsiang-Wei Liu,Tien-Lu Lin,Yu-Chieh Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-28.

Virtual gate design for thin packages

Номер патента: US7005101B2. Автор: Selvarajan Murugan. Владелец: Texas Instruments Inc. Дата публикации: 2006-02-28.

Virtual gate design for thin packages

Номер патента: US20030132019A1. Автор: Selvarajan Murugan. Владелец: Texas Instruments Inc. Дата публикации: 2003-07-17.

Insulator void aspect ratio tuning by selective deposition

Номер патента: US20150371940A1. Автор: Hsiang-Wei Liu,Tien-Lu Lin,Yu-Chieh Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-12-24.

Capacitance fine tuning by fin capacitor design

Номер патента: EP4278393A1. Автор: Changhan Hobie Yun,Paragkumar Ajaybhai Thadesar,Daniel Daeik Kim,Nosun Park,Sameer Sunil VADHAVKAR. Владелец: Qualcomm Inc. Дата публикации: 2023-11-22.

INSULATOR VOID ASPECT RATIO TUNING BY SELECTIVE DEPOSITION

Номер патента: US20150371940A1. Автор: Liu Hsiang-Wei,Lin Tien-Lu,LIAO Yu-Chieh. Владелец: . Дата публикации: 2015-12-24.

Slurry for CMP and method for fabricating the same and method for treating CMP using the same

Номер патента: KR100447975B1. Автор: 김재홍. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-09-10.

CLEANING COMPOSITION FOLLOWING CMP AND METHODS RELATED THERETO

Номер патента: US20170158992A1. Автор: IVANOV Roman,Ko Cheng-Yuan,Sun Fred. Владелец: . Дата публикации: 2017-06-08.

Slurry for CMP, and method of manufacturing semiconductor device

Номер патента: US20050009322A1. Автор: Gaku Minamihaba,Hiroyuki Yano,Yukiteru Matsui. Владелец: Toshiba Corp. Дата публикации: 2005-01-13.

Polishing solution for cmp and method of polishing

Номер патента: EP1936673A4. Автор: Takafumi Sakurada,Takashi Shinoda,Shigeru Nobe,Yoshikazu Oomori,Tadahiro Kimura. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2011-01-05.

Polishing solution for cmp and method of polishing

Номер патента: WO2007043517A1. Автор: Takafumi Sakurada,Takashi Shinoda,Shigeru Nobe,Yoshikazu Oomori,Tadahiro Kimura. Владелец: HITACHI CHEMICAL CO., LTD.. Дата публикации: 2007-04-19.

Polishing liquid for CMP and polishing method using the same

Номер патента: JPWO2014175397A1. Автор: 真之 花野,雅弘 坂下,公二 三嶋. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2017-02-23.

Slurry for CMP, and method of manufacturing semiconductor device

Номер патента: US6794285B2. Автор: Gaku Minamihaba,Hiroyuki Yano,Yukiteru Matsui. Владелец: Toshiba Corp. Дата публикации: 2004-09-21.

Slurry for CMP, and method of manufacturing semiconductor device

Номер патента: TWI238446B. Автор: Gaku Minamihaba,Hiroyuki Yano,Yukiteru Matsui. Владелец: Toshiba Corp. Дата публикации: 2005-08-21.

Slurry for cmp, and method of manufacturing semiconductor device

Номер патента: US20040161932A1. Автор: Gaku Minamihaba,Hiroyuki Yano,Yukiteru Matsui. Владелец: Toshiba Corp. Дата публикации: 2004-08-19.

Slurry for CMP, and method of manufacturing semiconductor device

Номер патента: TW200426894A. Автор: Gaku Minamihaba,Hiroyuki Yano,Yukiteru Matsui. Владелец: Toshiba Kk. Дата публикации: 2004-12-01.

Polishing slurry for CMP and polishing method

Номер патента: US20070117394A1. Автор: Takafumi Sakurada,Takashi Shinoda,Shigeru Nobe,Yoshikazu Oomori,Tadahiro Kimura. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2007-05-24.

Suspension for chemical mechanical planarization (cmp) and method employing the same

Номер патента: WO2022212155A1. Автор: Sridevi R. ALETY,Mark CYFFKA,Niraj Mahadev. Владелец: ENTEGRIS, INC.. Дата публикации: 2022-10-06.

Polishing liquid for CMP and polishing method

Номер патента: JP6631520B2. Автор: 真之 花野,雅弘 坂下,公二 三嶋,直己 渡辺. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2020-01-15.

Polishing liquid for CMP, and polishing method

Номер патента: US10155886B2. Автор: Hisataka Minami,Toshiaki Akutsu,Munehiro Oota,Toshio Takizawa,Tomohiro Iwano. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2018-12-18.

Cleaning composition following cmp and methods related thereto

Номер патента: EP3169765B1. Автор: Fred Sun,Roman Ivanov,Cheng-Yuan Ko. Владелец: Cabot Microelectronics Corp. Дата публикации: 2020-08-19.

Cleaning composition following CMP and methods related thereto

Номер патента: US10100272B2. Автор: Fred Sun,Roman Ivanov,Cheng-Yuan Ko. Владелец: Cabot Microelectronics Corp. Дата публикации: 2018-10-16.

Cleaning composition following CMP and methods related thereto

Номер патента: TW201612305A. Автор: Fred Sun,Roman Ivanov,Cheng-Yuan Lennon Ko. Владелец: Cabot Microelectronics Corp. Дата публикации: 2016-04-01.

Method of making a semiconductor device package with dummy gate

Номер патента: US9502334B2. Автор: Hsueh-An Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US9029982B2. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2015-05-12.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US20130241032A1. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2013-09-19.

Method for manufacturing fin field-effect transistor

Номер патента: US11646233B2. Автор: Tiancai YAN,Bingxun Su. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-05-09.

Method for manufacturing fin field-effect transistor

Номер патента: US20210391221A1. Автор: Tiancai YAN,Bingxun Su. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2021-12-16.

SEMICONDUCTOR DEVICES INCLUDING GATES AND DUMMY GATES OF DIFFERENT MATERIALS

Номер патента: US20140203362A1. Автор: Ko Young-Gun,KIM Yoon-Hae,YOON Jong-Shik. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-07-24.

ACTIVE AND DUMMY FIN STRUCTURES

Номер патента: US20210234034A1. Автор: Yu Hong,WANG Haiting,SHEN Yanping. Владелец: . Дата публикации: 2021-07-29.

INTEGRATED CIRCUIT PRODUCT WITH A GATE HEIGHT REGISTRATION STRUCTURE

Номер патента: US20160005733A1. Автор: Cheng Kangguo,Khakifirooz Ali,Xie Ruilong,Cai Xiuyu,Wedlake Michael. Владелец: . Дата публикации: 2016-01-07.

OVERLAY MARK DEPENDENT DUMMY FILL TO MITIGATE GATE HEIGHT VARIATION

Номер патента: US20160079180A1. Автор: Ning Guoxiang,Ackmann Paul,Koh Hui Peng,CHO Chan Seob,HSIEH Jung Yu. Владелец: . Дата публикации: 2016-03-17.

FINFET SEMICONDUCTOR DEVICE HAVING INCREASED GATE HEIGHT CONTROL

Номер патента: US20150263046A1. Автор: Ponoth Shom,Cheng Kangguo,Khakifirooz Ali,SREENIVASAN Raghavasimhan. Владелец: . Дата публикации: 2015-09-17.

Lateral DMOS Device with Dummy Gate

Номер патента: US20170005193A1. Автор: Liu Ruey-Hsin,Cai Jun,Ng Chun-Wai,Chou Hsueh-Liang,Chen Chi-Chih. Владелец: . Дата публикации: 2017-01-05.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20160005814A1. Автор: Fu Shih-Chi,Chou Chien-Chih. Владелец: . Дата публикации: 2016-01-07.

Lateral DMOS Device with Dummy Gate

Номер патента: US20200035826A1. Автор: Liu Ruey-Hsin,Cai Jun,Ng Chun-Wai,Chou Hsueh-Liang,Chen Chi-Chih. Владелец: . Дата публикации: 2020-01-30.

INTEGRATED CIRCUITS HAVING DUMMY GATE ELECTRODES AND METHODS OF FORMING THE SAME

Номер патента: US20150069530A1. Автор: CHERN Chan-Hong,HUANG MEI-HUI. Владелец: . Дата публикации: 2015-03-12.

Lateral DMOS Device with Dummy Gate

Номер патента: US20180138312A1. Автор: Liu Ruey-Hsin,Cai Jun,Ng Chun-Wai,Chou Hsueh-Liang,Chen Chi-Chih. Владелец: . Дата публикации: 2018-05-17.

Well Implant Through Dummy Gate Oxide In Gate-Last Process

Номер патента: US20150155382A1. Автор: Wang Ping-Wei,Hung Sheng Chiang,Huang Huai-Ying. Владелец: . Дата публикации: 2015-06-04.

PARTIAL SACRIFICIAL DUMMY GATE WITH CMOS DEVICE WITH HIGH-K METAL GATE

Номер патента: US20150187897A1. Автор: Wong Keith Kwong Hon,Guo Dechao,Han Shu-Jen,Lu Yu,Haensch Wilfried E.,Jaeger Daniel J. Владелец: . Дата публикации: 2015-07-02.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20160204203A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2016-07-14.

FINFET-BASED SEMICONDUCTOR DEVICE WITH DUMMY GATES

Номер патента: US20150294969A1. Автор: Lee Jin-Wook,SEO Kang-III. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2015-10-15.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND A METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCESS

Номер патента: US20150340498A1. Автор: Tateshita Yasushi. Владелец: . Дата публикации: 2015-11-26.

Semiconductor device and dummy pattern arrangement method

Номер патента: US20100084769A1. Автор: Naohiro Kobayashi. Владелец: NEC Electronics Corp. Дата публикации: 2010-04-08.

Semiconductor device and dummy pattern arrangement method

Номер патента: US20080251930A1. Автор: Naohiro Kobayashi. Владелец: NEC Electronics Corp. Дата публикации: 2008-10-16.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US20150235972A1. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2015-08-20.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US20140210046A1. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2014-07-31.

Display device utilizing pixel and dummy portions

Номер патента: US10340316B2. Автор: Jin Woo Park,Jun Young Lee,Hyun Soo Jung,Hyun Chul Oh,Ung Soo Lee,Ho youn Kim,Su Hyuk Choi. Владелец: Samsung Display Co Ltd. Дата публикации: 2019-07-02.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US20090096061A1. Автор: Shinichi Uchida. Владелец: NEC Electronics Corp. Дата публикации: 2009-04-16.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US8174092B2. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2012-05-08.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US8686540B2. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2014-04-01.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US20120187532A1. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2012-07-26.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US20110169130A1. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2011-07-14.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US7932578B2. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2011-04-26.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US8450831B2. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2013-05-28.

Embedded mram integrated with super via and dummy fill

Номер патента: US20230098033A1. Автор: Kangguo Cheng,Ruilong Xie,Dimitri Houssameddine,Julien Frougier. Владелец: International Business Machines Corp. Дата публикации: 2023-03-30.

Semiconductor device having high frequency wiring and dummy metal layer at multilayer wiring structure

Номер патента: US20160163660A1. Автор: Shinichi Uchida. Владелец: Renesas Electronics Corp. Дата публикации: 2016-06-09.

SEMICONDUCTOR DEVICE INCLUDING OPERATIVE CAPACITORS AND DUMMY CAPACITORS

Номер патента: US20140091430A1. Автор: NAGAI Kouichi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2014-04-03.

SEMICONDUCTOR DEVICE HAVING HIGH FREQUENCY WIRING AND DUMMY METAL LAYER AT MULTILAYER WIRING STRUCTURE

Номер патента: US20160163660A1. Автор: Uchida Shinichi. Владелец: . Дата публикации: 2016-06-09.

STACKED DIES AND DUMMY COMPONENTS FOR IMPROVED THERMAL PERFORMANCE

Номер патента: US20190189590A1. Автор: Agarwal Rahul,Bhagavat Milind S.,WILKERSON Brett P.,Setty Kaushik Mysore Srinivasa. Владелец: . Дата публикации: 2019-06-20.

SEMICONDUCTOR DEVICE HAVING HIGH FREQUENCY WIRING AND DUMMY METAL LAYER AT MULTILAYER WIRING STRUCTURE

Номер патента: US20150235972A1. Автор: Uchida Shinichi. Владелец: . Дата публикации: 2015-08-20.

Semiconductor integrated circuit and dummy pattern arrangement method

Номер патента: JP5184003B2. Автор: 吉孝 木村. Владелец: Kawasaki Microelectronics Inc. Дата публикации: 2013-04-17.

Storage array with isolated storage unit and dummy unit zone

Номер патента: CN100544003C. Автор: 刘承杰,刘振钦,黄兰婷. Владелец: Macronix International Co Ltd. Дата публикации: 2009-09-23.

Semiconductor package including semiconductor chips and dummy pad

Номер патента: TW202203401A. Автор: 崔銀景. Владелец: 南韓商三星電子股份有限公司. Дата публикации: 2022-01-16.

Overlay mark dependent dummy fill to mitigate gate height variation

Номер патента: US20160079180A1. Автор: Guoxiang Ning,Paul Ackmann,Jung Yu Hsieh,Hui Peng Koh,Chan Seob Cho. Владелец: Globalfoundries Inc. Дата публикации: 2016-03-17.

Overlay mark dependent dummy fill to mitigate gate height variation

Номер патента: US9368453B2. Автор: Guoxiang Ning,Paul Ackmann,Jung Yu Hsieh,Hui Peng Koh,Chan Seob Cho. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-14.

REDUCTION OF OXIDE RECESSES FOR GATE HEIGHT CONTROL

Номер патента: US20150048446A1. Автор: Chen Tsung-Liang,TAI Hsin-Neng,WANG Huey-Ming. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2015-02-19.

UNIFORM FINFET GATE HEIGHT

Номер патента: US20140319611A1. Автор: Ponoth Shom,Mehta Sanjay,HARAN BALASUBRAMANIAN S.,Schmitz Stefan,STANDAERT Theodorus E.,Ramachandran Ravikumar. Владелец: . Дата публикации: 2014-10-30.

INTEGRATED CIRCUIT CHIPS HAVING FIELD EFFECT TRANSISTORS WITH DIFFERENT GATE DESIGNS

Номер патента: US20150364556A1. Автор: Obradovic Borna J.,Rodder Mark S.,Palle Dharmendar Reddy. Владелец: . Дата публикации: 2015-12-17.

Qubit tuning by magnetic fields in superconductors

Номер патента: US20200167683A1. Автор: Markus BRINK,Harry Barowski,Albert Frisch. Владелец: International Business Machines Corp. Дата публикации: 2020-05-28.

QUBIT TUNING BY MAGNETIC FIELDS IN SUPERCONDUCTORS

Номер патента: US20200167683A1. Автор: Barowski Harry,Brink Markus,FRISCH Albert. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2020-05-28.

QUBIT TUNING BY MAGNETIC FIELDS IN SUPERCONDUCTORS

Номер патента: US20200167684A1. Автор: Barowski Harry,Brink Markus,FRISCH Albert. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2020-05-28.

COMBINED CMP AND RIE CONTACT SCHEME FOR MRAM APPLICATIONS

Номер патента: US20180254410A1. Автор: "OSullivan Eugene J.",Lofaro Michael F.,Nowak Janusz J.,Marchack Nathan P.. Владелец: . Дата публикации: 2018-09-06.

COMBINED CMP AND RIE CONTACT SCHEME FOR MRAM APPLICATIONS

Номер патента: US20180254411A1. Автор: "OSullivan Eugene J.",Lofaro Michael F.,Nowak Janusz J.,Marchack Nathan P.. Владелец: . Дата публикации: 2018-09-06.

Abrasives for copper CMP and methods for making

Номер патента: US6913634B2. Автор: Duen-Wu Hua,Frands Nielsen. Владелец: JM Huber Corp. Дата публикации: 2005-07-05.

Abrasives for copper CMP and methods for making

Номер патента: US20050092962A1. Автор: Duen-Wu Hua,Frands Nielsen. Владелец: Frands Nielsen. Дата публикации: 2005-05-05.

DUMMY GATE CELL, CELL-BASED IC, LAYOUT SYSTEM AND LAYOUT METHOD OF CELL-BASED IC, AND PORTABLE DEVICE

Номер патента: US20130249014A1. Автор: Kito Yoshiharu. Владелец: . Дата публикации: 2013-09-26.

SELF-ALIGNED LOW RESISTANCE BURIED POWER RAIL THROUGH SINGLE DIFFUSION BREAK DUMMY GATE

Номер патента: US20220173039A1. Автор: YANG Bin,Li Xia,Yang Haining. Владелец: . Дата публикации: 2022-06-02.

Dummy gate cell, cell-based IC, and portable device

Номер патента: US9142556B2. Автор: Yoshiharu Kito. Владелец: ROHM CO LTD. Дата публикации: 2015-09-22.

Method of fabricating a dummy gate electrode of an ESD protecting device

Номер патента: US20020058368A1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-05-16.

Semiconductor devices and arrangements including dummy gates for electrostatic discharge protection

Номер патента: US9595516B2. Автор: Mayank Shrivastava,Christian Russ. Владелец: Intel IP Corp. Дата публикации: 2017-03-14.

Semiconductor devices and arrangements including dummy gates for electrostatic discharge protection

Номер патента: US09595516B2. Автор: Mayank Shrivastava,Christian Russ. Владелец: Intel IP Corp. Дата публикации: 2017-03-14.

Lateral bicmos replacement metal gate

Номер патента: US20170005085A1. Автор: Effendi Leobandung,Tak H. Ning,Jin Cai. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-05.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US20160293496A1. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-06.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US10192792B2. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2019-01-29.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US20160111341A1. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2016-04-21.

Method of utilizing trench silicide in a gate cross-couple construct

Номер патента: US20160293495A1. Автор: Ryan Ryoung-Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-06.

Dummy plug and dummy plug structure

Номер патента: US20230114179A1. Автор: Daisuke Kataoka,Junya Matsuura. Владелец: Sumitomo Wiring Systems Ltd. Дата публикации: 2023-04-13.

Dummy plug and dummy plug structure

Номер патента: WO2021192940A1. Автор: 片岡 大輔,純弥 松浦. Владелец: 住友電装株式会社. Дата публикации: 2021-09-30.

Refrigeration of high power resistors, dummy antennas and dummy loads by vaporization of a liquid

Номер патента: FR1395297A. Автор: . Владелец: Egyesuelt Izzolampa es Villamossagi Rt. Дата публикации: 1965-04-09.

Mass spectrometer with power supply switching and dummy load

Номер патента: US09911586B2. Автор: Alexander Kholomeev,Alexander Makarov. Владелец: Thermo Fisher Scientific Bremen GmbH. Дата публикации: 2018-03-06.

FUEL CELL STACK AND DUMMY CELL

Номер патента: US20180183087A1. Автор: Ida Atsushi,Hashimoto Keiji,Hayashi Daisuke,Kondo Takashi,Kurita Shuji,KANNO Daisuke,YAMASAKI Takenori. Владелец: . Дата публикации: 2018-06-28.

Air-cooled power load and dummy antenna formed by such a load.

Номер патента: FR2718584B1. Автор: Ivan Wolk. Владелец: Thomcast. Дата публикации: 1996-05-31.

Electronic device and dummy connector thereof

Номер патента: US7852636B2. Автор: Zheng-Heng Sun,Xiao-Feng Ma. Владелец: Hongfujin Precision Industry Shenzhen Co Ltd. Дата публикации: 2010-12-14.

Multiple position electrical switch with contact rails and dummy contact pressure stabilizers

Номер патента: US3223794A. Автор: Leland C Hoy,Hazek Borys. Владелец: Indak Manufacturing Corp. Дата публикации: 1965-12-14.

Qubit tuning by magnetic fields in superconductors

Номер патента: EP3888018A1. Автор: Markus BRINK,Harry Barowski,Albert Frisch. Владелец: International Business Machines Corp. Дата публикации: 2021-10-06.

Qubit tuning by magnetic fields in superconductors

Номер патента: WO2020109106A1. Автор: Markus BRINK,Harry Barowski,Albert Frisch. Владелец: IBM Deutschland GmbH. Дата публикации: 2020-06-04.

Qubit tuning by magnetic fields in superconductors

Номер патента: EP3888019A1. Автор: Markus BRINK,Harry Barowski,Albert Frisch. Владелец: International Business Machines Corp. Дата публикации: 2021-10-06.

Qubit tuning by magnetic fields in superconductors

Номер патента: WO2020109107A1. Автор: Markus BRINK,Harry Barowski,Albert Frisch. Владелец: IBM Deutschland GmbH. Дата публикации: 2020-06-04.

Semiconductor nano/microlaser tuning by strain engineering

Номер патента: US20160365705A1. Автор: Sheng Liu,George T. Wang. Владелец: Sandia Corp. Дата публикации: 2016-12-15.

Cavity resonator tuned by variable recessing, instead of variable projecting, tuning screw

Номер патента: US3170129A. Автор: Kenneth D Powell. Владелец: Westinghouse Electric Corp. Дата публикации: 1965-02-16.

Semiconductor nano/microlaser tuning by strain engineering

Номер патента: US20160365705A1. Автор: Liu Sheng,Wang George T.. Владелец: . Дата публикации: 2016-12-15.

Device with dual-band antenna tuned by tank network

Номер патента: CA2716221A1. Автор: Michael Kuehn,Andreas Handro. Владелец: Research in Motion Ltd. Дата публикации: 2011-04-05.

UHF device with tuning by ferromagnetic material

Номер патента: FR2604306A1. Автор: . Владелец: Bardin Jean Claude. Дата публикации: 1988-03-25.

Electronically tunable RF diplexers tuned by tunable capacitors

Номер патента: US20020053954A1. Автор: Jian Xu,Khosro Shamsaifar. Владелец: Individual. Дата публикации: 2002-05-09.

Analog rat-race phase shifters tuned by dielectric varactors

Номер патента: US20030034858A1. Автор: Yongfei Zhu. Владелец: Paratek Microwave Inc. Дата публикации: 2003-02-20.

Resonant cavity filters including coupling tuning by resonator rotation

Номер патента: US11996599B2. Автор: Luca Bonato,Roman Tkadlec,Andrea FACCHINI,Yrjo Erkki HUGG. Владелец: Commscope Italy SRL. Дата публикации: 2024-05-28.

Uniform shallow trench isolation

Номер патента: US20180204775A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2018-07-19.

Uniform shallow trench isolation

Номер патента: US20180204774A1. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2018-07-19.

Uniform shallow trench isolation

Номер патента: US10256154B2. Автор: Chen Zhang,Peng Xu,Kangguo Cheng,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2019-04-09.

Tunable poly resistors for hybrid replacement gate technology and methods of manufacturing

Номер патента: US20150228708A1. Автор: Peter Baars,Andrei Sidelnicov. Владелец: Globalfoundries Inc. Дата публикации: 2015-08-13.

Semiconductor device and fabrication method thereof

Номер патента: US20190172754A1. Автор: Cheng Long ZHANG. Владелец: SMIC Advanced Technology R&D Shanghai Corp. Дата публикации: 2019-06-06.

Storage device, and storage part and dummy unit for storage device

Номер патента: US7269006B2. Автор: Yoji Okabe,Kenich Miyamoto. Владелец: HITACHI LTD. Дата публикации: 2007-09-11.

Ink jet head and ink jet printing apparatus with driving channels and dummy channels

Номер патента: US20140253619A1. Автор: Takashi Norigoe. Владелец: Toshiba TEC Corp. Дата публикации: 2014-09-11.

Method for dummy metal and dummy via insertion

Номер патента: US20130097571A1. Автор: Chih-Chieh Chen,Hung-Yi LIU,Jian-Yi Li,Chung-Hisng WANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-04-18.

Liquid jet head having drive electrodes of different depths on ejection and dummy channels

Номер патента: US09713924B2. Автор: Satoshi Horiguchi,Toshimitsu Morooka. Владелец: SII Printek Inc. Дата публикации: 2017-07-25.

Multi-dimension clock gate design in clock tree synthesis

Номер патента: US10963618B1. Автор: ZHUO Li,William Robert Reece,Thomas Andrew Newton,Kwangsoo Han,Amin Farshidi. Владелец: Cadence Design Systems Inc. Дата публикации: 2021-03-30.

Gate design with plunging nozzle and a waste reservoir for separating off ratio material

Номер патента: EP2969452A1. Автор: Brian D. Wilson. Владелец: Michelin Recherche et Technique SA Switzerland. Дата публикации: 2016-01-20.

Functional verification of power gated designs by compositional reasoning

Номер патента: US20100017764A1. Автор: Cynthia Rae Eisner,Karen Frida Yorav. Владелец: International Business Machines Corp. Дата публикации: 2010-01-21.

Gate design with concentric channel for separating off-ratio material

Номер патента: EP2969453A2. Автор: Brian D. Wilson. Владелец: Michelin Recherche et Technique SA Switzerland. Дата публикации: 2016-01-20.

Functional verification of power gated designs by compositional reasoning

Номер патента: US8086972B2. Автор: Cynthia Rae Eisner,Karen Frida Yorav. Владелец: International Business Machines Corp. Дата публикации: 2011-12-27.

Gate design with concentric channel for separating off-ratio material

Номер патента: US09950457B2. Автор: Brian D Wilson. Владелец: Compagnie Generale des Etablissements Michelin SCA. Дата публикации: 2018-04-24.

Gate design with plunging nozzle and a waste reservoir for separating off ratio material

Номер патента: US20160031137A1. Автор: Brian D Wilson. Владелец: Individual. Дата публикации: 2016-02-04.

Display apparatus comprising dummy color filter and dummy color conversion pattern

Номер патента: US11778885B2. Автор: Jonghoon Kim,Seongyeon LEE,Myoungjong LEE,Jangil KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-10-03.

Display device with touch panel having X, Y and dummy electrodes

Номер патента: US09983730B2. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2018-05-29.

Display device with touch panel having X, Y and dummy electrodes

Номер патента: US09772715B2. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2017-09-26.

Display device with touch panel having X, Y and dummy electrodes

Номер патента: US09557854B2. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2017-01-31.

Dummy-light generation device, optical transmission system, and dummy-light generation method

Номер патента: US20230344543A1. Автор: Tatsuya Yamazaki. Владелец: NEC Corp. Дата публикации: 2023-10-26.

Electronic apparatus and dummy device

Номер патента: US10542635B2. Автор: Takeshi Nishiyama. Владелец: Fujitsu Ltd. Дата публикации: 2020-01-21.

Electronic apparatus and dummy device

Номер патента: US20190364693A1. Автор: Takeshi Nishiyama. Владелец: Fujitsu Ltd. Дата публикации: 2019-11-28.

Display device with touch panel having X, Y and dummy electrodes

Номер патента: US12026337B2. Автор: Shinya Hashimoto. Владелец: Paneltouch Technologies LLC. Дата публикации: 2024-07-02.

Transversely-excited film bulk acoustic resonator with oxide strip and dummy fingers

Номер патента: US20230336142A1. Автор: John P. KOULAKIS. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2023-10-19.

Electronic apparatus and dummy cover

Номер патента: US12075582B2. Автор: Yuji Horii. Владелец: NEC Platforms Ltd. Дата публикации: 2024-08-27.

Liquid crystal display apparatus having dummy lead and dummy land for alignment

Номер патента: TW463070B. Автор: Akihide Satou,Takanobu Kai. Владелец: Nippon Electric Co. Дата публикации: 2001-11-11.

DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES

Номер патента: US20200050319A1. Автор: Hashimoto Shinya. Владелец: . Дата публикации: 2020-02-13.

Display device with touch panel having x, y and dummy electrodes

Номер патента: US20210096722A1. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2021-04-01.

Display device with touch panel having x, y and dummy electrodes

Номер патента: US20170102819A1. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2017-04-13.

Display device with touch panel having x, y and dummy electrodes

Номер патента: US20190107915A1. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2019-04-11.

DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES

Номер патента: US20220179538A1. Автор: Hashimoto Shinya. Владелец: . Дата публикации: 2022-06-09.

DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES

Номер патента: US20160147374A1. Автор: Hashimoto Shinya. Владелец: . Дата публикации: 2016-05-26.

DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES

Номер патента: US20150177888A1. Автор: Hashimoto Shinya. Владелец: . Дата публикации: 2015-06-25.

OPTICAL TRANSMISSION APPARATUS AND DUMMY LIGHT INSERTING METHOD

Номер патента: US20140286635A1. Автор: Kaneko Shinichi,TANAKA Jyunji,WATANABE Haruki. Владелец: FUJITSU LIMITED. Дата публикации: 2014-09-25.

SERVER RACK AND DUMMY CHASSIS THEREOF

Номер патента: US20200214166A1. Автор: YU Cheng-Han,HSIAO Ming-Huei,MA Wei-Cheng. Владелец: . Дата публикации: 2020-07-02.

CABINET SERVER AND DUMMY SERVER CHASSIS

Номер патента: US20140321037A1. Автор: Zhao Chao. Владелец: . Дата публикации: 2014-10-30.

DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES

Номер патента: US20190235695A1. Автор: Hashimoto Shinya. Владелец: . Дата публикации: 2019-08-01.

Display device with touch panel having x, y and dummy electrodes

Номер патента: US20180364863A1. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2018-12-20.

ELECTRONIC APPARATUS AND DUMMY DEVICE

Номер патента: US20190364693A1. Автор: NISHIYAMA Takeshi. Владелец: FUJITSU LIMITED. Дата публикации: 2019-11-28.

Drilling printed circuit boards and dummy boards therefor

Номер патента: GB2252522B. Автор: Rudolf Walter Weinreich,Peter D Swales. Владелец: Holders Technology PLC. Дата публикации: 1994-11-16.

Sync. signal phase shift and dummy sync. signal generating circuit

Номер патента: KR0151351B1. Автор: 권중렬. Владелец: 김광호. Дата публикации: 1998-10-15.

Display device with touch panel having X, Y and dummy electrodes

Номер патента: US10088950B2. Автор: Shinya Hashimoto. Владелец: Panasonic Liquid Crystal Display Co Ltd. Дата публикации: 2018-10-02.

Center circular gating design for squeeze casting induction rotor core

Номер патента: US09839959B2. Автор: Qigui Wang,Richard J. Osborne,Frank Sant. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2017-12-12.

CENTER CIRCULAR GATING DESIGN FOR SQUEEZE CASTING INDUCTION ROTOR CORE

Номер патента: US20160175922A1. Автор: Wang Qigui,OSBORNE RICHARD J.,SANT FRANK. Владелец: . Дата публикации: 2016-06-23.

QUANTUM LOGIC GATE DESIGN AND OPTIMIZATION

Номер патента: US20200321949A1. Автор: PISENTI Neal,Chen Jwo-Sy,DEBNATH Shantanu,AMINI Jason M.. Владелец: . Дата публикации: 2020-10-08.

QUANTUM LOGIC GATE DESIGN AND OPTIMIZATION

Номер патента: US20200373917A1. Автор: PISENTI Neal,Chen Jwo-Sy,DEBNATH Shantanu,AMINI Jason M.. Владелец: . Дата публикации: 2020-11-26.

Tuner control apparatus having tune-by-label capability.

Номер патента: MY107135A. Автор: Jay Duffield David,Wesley Beyers Billy Jr. Владелец: Thomson Consumer Electronics Inc. Дата публикации: 1995-09-30.

Quantum logic gate design and optimization

Номер патента: WO2020205037A1. Автор: Jwo-Sy Chen,Neal Pisenti,Jason M. Amini,Shantanu DEBNATH. Владелец: IonQ, Inc.. Дата публикации: 2020-10-08.

Quantum logic gate design and optimization

Номер патента: US20200321949A1. Автор: Jwo-Sy Chen,Neal Pisenti,Jason M. Amini,Shantanu DEBNATH. Владелец: Ionq Inc. Дата публикации: 2020-10-08.

Quantum logic gate design and optimization

Номер патента: US20200373917A1. Автор: Jwo-Sy Chen,Neal Pisenti,Jason M. Amini,Shantanu DEBNATH. Владелец: Ionq Inc. Дата публикации: 2020-11-26.

Quantum logic gate design and optimization

Номер патента: AU2020253878A1. Автор: Jwo-Sy Chen,Neal Pisenti,Jason M. Amini,Shantanu DEBNATH. Владелец: Ionq Inc. Дата публикации: 2021-11-25.

Quantum logic gate design and optimization

Номер патента: AU2020253878C1. Автор: Jwo-Sy Chen,Neal Pisenti,Jason M. Amini,Shantanu DEBNATH. Владелец: Ionq Inc. Дата публикации: 2023-12-14.

Quantum logic gate design and optimization

Номер патента: EP3948695A1. Автор: Jwo-Sy Chen,Neal Pisenti,Jason M. Amini,Shantanu DEBNATH. Владелец: Ionq Inc. Дата публикации: 2022-02-09.

Automated tuning by measuring and equalizing speaker output in an audio environment

Номер патента: US11990881B2. Автор: Matthew V. Kotvis,Eugene Goff,Ray Dippert,Samarth Behura. Владелец: Biamp Systems LLC. Дата публикации: 2024-05-21.

Multi carrier leakage tuning by error power detection

Номер патента: WO2011075273A1. Автор: Niels Hansen,Mikkel Christian Wendelboe Hoyerby. Владелец: MOTOROLA SOLUTIONS, INC.. Дата публикации: 2011-06-23.

Optical N-level quadrature amplitude modulation (NQAM) tuned by dithering associated heaters

Номер патента: US09835928B1. Автор: Zhong Shi,Jinxi Shen,Na SHAN. Владелец: FutureWei Technologies Inc. Дата публикации: 2017-12-05.

Voltage regulation auto-tuning by detecting actual total capacitance at output terminal

Номер патента: US20180039309A1. Автор: Ralph H. Johnson,Shiguo Luo. Владелец: Dell Products LP. Дата публикации: 2018-02-08.

Method for providing object recognition with deep learning using fine tuning by user

Номер патента: KR102296274B1. Автор: 전정희. Владелец: 주식회사 보고넷. Дата публикации: 2021-09-01.

DOUBLE FREQUENCY OSCILLATOR, TUNED BY VARACTORS

Номер патента: FR2625051B1. Автор: Marc Camiade,Alain Bert,S Pierre. Владелец: Thomson Hybrides et Microondes. Дата публикации: 1990-04-20.

Voltage controlled oscillator tuning by metal lid aperture selection

Номер патента: EP1070386A1. Автор: Thomas A. Knecht,Glen O. Reeser. Владелец: CTS Corp. Дата публикации: 2001-01-24.

Frequency synthesis circuit tuned by digital words

Номер патента: WO1999038252A1. Автор: Alain Vergnes,Didier Valenti. Владелец: ATMEL CORPORATION. Дата публикации: 1999-07-29.

Tuner control apparatus having tune-by-label capability and using alphabetical label storage

Номер патента: KR0185393B1. Автор: 제이 더필드 데이빗. Владелец: 피터 엠. 엠마뉴엘. Дата публикации: 1999-04-15.

GUNN DIODE OSCILLATOR TUNED BY A VARIABLE CAPACITY DIODE

Номер патента: FR2553240B1. Автор: Kai Chang,Raqhbir Singh Tahim,George Masao Hayashibara. Владелец: TRW Inc. Дата публикации: 1992-08-07.

Voltage generating circuit for fine tuning by automatic control

Номер патента: KR100315345B1. Автор: 가와무라아키라,후지타류스케. Владелец: 알프스 덴키 가부시키가이샤. Дата публикации: 2001-11-26.

Medium frequency transformer tuned by a variable spool capacitor

Номер патента: FR638233A. Автор: Jean Viardot. Владелец: . Дата публикации: 1928-05-19.

Frequency synthesis circuit tuned by digital words

Номер патента: CA2284842A1. Автор: Alain Vergnes,Didier Valenti. Владелец: Individual. Дата публикации: 1999-07-29.

Apparatus for low noise amplifier of pass band tuning by using PLL

Номер патента: KR20020055214A. Автор: 이승윤. Владелец: 엘지전자주식회사. Дата публикации: 2002-07-08.

Voltage regulation auto-tuning by detecting actual total capacitance at output terminal

Номер патента: US20180039309A1. Автор: Ralph H. Johnson,Shiguo Luo. Владелец: Dell Products LP. Дата публикации: 2018-02-08.

Multi carrier leakage tuning by error power detection

Номер патента: EP2514100A1. Автор: Niels Hansen,Mikkel Christian Wendelboe Hoyerby. Владелец: Motorola Solutions Inc. Дата публикации: 2012-10-24.

Slurry for cmp and methods of fabricating the same

Номер патента: KR100646775B1. Автор: 백운규,박재근,김상균,가토다케오. Владелец: 학교법인 한양학원. Дата публикации: 2006-11-17.

Self-aligned gate endcap (SAGE) architecture having gate contacts

Номер патента: US11935892B2. Автор: Walid M. Hafez,Sairam Subramanian. Владелец: Intel Corp. Дата публикации: 2024-03-19.

Self-aligned gate endcap (sage) architecture having gate contacts

Номер патента: US20200286890A1. Автор: Walid M. Hafez,Sairam Subramanian. Владелец: Intel Corp. Дата публикации: 2020-09-10.

Self-aligned gate endcap (sage) architecture having gate contacts

Номер патента: US20240145477A1. Автор: Walid M. Hafez,Sairam Subramanian. Владелец: Intel Corp. Дата публикации: 2024-05-02.

Semiconductor device

Номер патента: US20190027490A1. Автор: Joong Shik Shin,Seung Jun Shin,Hyun Mog Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-01-24.

Semiconductor device

Номер патента: US10680007B2. Автор: Joong Shik Shin,Seung Jun Shin,Hyun Mog Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-06-09.

Semiconductor device

Номер патента: US20240315030A1. Автор: Joong Shik Shin,Seung Jun Shin,Hyun Mog Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-19.

Semiconductor device

Номер патента: US12035528B2. Автор: Joong Shik Shin,Seung Jun Shin,Hyun Mog Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-09.

Finfet device for n/p tuning

Номер патента: EP3275019A1. Автор: Haining Yang,Yanxiang Liu. Владелец: Qualcomm Inc. Дата публикации: 2018-01-31.

Finfet device for n/p tuning

Номер патента: WO2016154405A1. Автор: Haining Yang,Yanxiang Liu. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-09-29.

System, apparatus, and method for n/p tuning in a fin-fet

Номер патента: US20170236815A1. Автор: Haining Yang,Yanxiang Liu. Владелец: Qualcomm Inc. Дата публикации: 2017-08-17.

System, apparatus, and method for N/P tuning in a fin-FET

Номер патента: US09978738B2. Автор: Haining Yang,Yanxiang Liu. Владелец: Qualcomm Inc. Дата публикации: 2018-05-22.

Fully-depleted SOI MOSFET with U-shaped channel

Номер патента: US09748348B2. Автор: Ramachandran Muralidhar,Takashi Ando,Isaac Lauer,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2017-08-29.

Semiconductor device

Номер патента: US20220384627A1. Автор: Tohru SHIRAKAWA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-12-01.

Semiconductor device

Номер патента: US20200203512A1. Автор: Tohru SHIRAKAWA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2020-06-25.

Cylinder block manufacturing method, dummy cylinder liner, and dummy cylinder liner casting method

Номер патента: US20100243191A1. Автор: Yoshinori Nishikawa. Владелец: Aichi Machine Industry Co Ltd. Дата публикации: 2010-09-30.

Liquid ejection device and dummy jet method

Номер патента: US09457562B2. Автор: Manabu Katsumura,Tsuyoshi Mita. Владелец: Fujifilm Corp. Дата публикации: 2016-10-04.

Touch display device comprising touch device having touch electrode area and dummy electrode area

Номер патента: US11537228B2. Автор: Yu-Feng Chien,Wen-Rei Guo,Tai-Hsuan Lin. Владелец: AU OPTRONICS CORP. Дата публикации: 2022-12-27.

Gate driver having normal stages and dummy stages and display device having the same

Номер патента: US10347189B2. Автор: So-Young Lee,Won-Se Lee,Jung-Bae Bae. Владелец: Samsung Display Co Ltd. Дата публикации: 2019-07-09.

METHOD FOR DUMMY METAL AND DUMMY VIA INSERTION

Номер патента: US20140137060A1. Автор: LIU Hung-Yi,CHEN Chih-Chieh,LI Jian-Yi,Wang Chung-Hsin. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-05-15.

APPARATUS FOR MOVING VEHICLE CRASH TEST DUMMY AND DUMMY TESTING APPARATUS

Номер патента: US20180090031A1. Автор: Luo Min. Владелец: . Дата публикации: 2018-03-29.

Dummy terminal system and dummy terminal equipment

Номер патента: JPH10207804A. Автор: Takeshi Yaotani,毅 八百谷. Владелец: Alps Electric Co Ltd. Дата публикации: 1998-08-07.

Dummy plate precursor for planographic printing and method for producing printed plate and dummy plate

Номер патента: EP1743776A3. Автор: Takahiro Goto. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 2007-02-14.

Dummy plate precursor for planographic printing and method for producing printed plate and dummy plate

Номер патента: US7611824B2. Автор: Takahiro Goto. Владелец: Fujifilm Corp. Дата публикации: 2009-11-03.

METHOD FOR DUMMY METAL AND DUMMY VIA INSERTION

Номер патента: US20130097571A1. Автор: LIU Hung-Yi,WANG Chung-Hisng,CHEN Chih-Chieh,LI Jian-Yi. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-04-18.

Dummy shuttle loom, and dummy shuttle for this loom

Номер патента: EP0333647B1. Автор: Erwin Pfarrwaller,Danilo Vezzu,Oskar Hübner. Владелец: Gebrueder Sulzer AG. Дата публикации: 1993-08-11.

Semiconductor device

Номер патента: US09842837B2. Автор: Duk Su Chun. Владелец: SK hynix Inc. Дата публикации: 2017-12-12.

Locking and dummy armatures for all-glass sliding door leaves or roll-up wall elements

Номер патента: AU1665995A. Автор: Mario Marinoni. Владелец: Italiana Progetti SRL. Дата публикации: 1995-08-29.

INK JET HEAD AND INK JET PRINTING APPARATUS WITH DRIVING CHANNELS AND DUMMY CHANNELS

Номер патента: US20140253619A1. Автор: Norigoe Takashi. Владелец: . Дата публикации: 2014-09-11.

THREE-DIMENSIONAL SEMICONDUCTOR MEMORY DEVICES INCLUDING STAIR STRUCTURES AND DUMMY ELECTRODES

Номер патента: US20180174661A1. Автор: KIM Kwang-Soo,LEE Heonkyu. Владелец: . Дата публикации: 2018-06-21.

DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES

Номер патента: US20180239492A1. Автор: Hashimoto Shinya. Владелец: . Дата публикации: 2018-08-23.

LIQUID EJECTION DEVICE AND DUMMY JET METHOD

Номер патента: US20150360464A1. Автор: KATSUMURA Manabu,Mita Tsuyoshi. Владелец: FUJIFILM Corporation. Дата публикации: 2015-12-17.

DISPLAY DEVICE WITH TOUCH PANEL HAVING X, Y AND DUMMY ELECTRODES

Номер патента: US20170371482A1. Автор: Hashimoto Shinya. Владелец: . Дата публикации: 2017-12-28.

Polishing by CMP for optimized planarization

Номер патента: US20020042199A1. Автор: Terence Thomas,Jinru Bian,Tirthankar Ghosh. Владелец: Rodel Holdings Inc. Дата публикации: 2002-04-11.

Polishing by cmp for optimized planarization

Номер патента: WO2002024413A2. Автор: Terence M. Thomas,Jinru Bian,Tirthankar Ghosh. Владелец: Rodel Holdings, Inc.. Дата публикации: 2002-03-28.

Polishing by cmp for optimized planarization

Номер патента: WO2002024413A3. Автор: Jinru Bian,Tirthankar Ghosh,Terence M Thomas. Владелец: Rodel Inc. Дата публикации: 2003-02-13.

Information carrier comprising access information and dummy information

Номер патента: CN1751346A. Автор: A·斯特克,M·W·布鲁姆,B·范罗姆帕伊. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2006-03-22.

The method of mobile terminal and dummy keyboard false-touch prevention

Номер патента: CN106095308B. Автор: 王伦奎. Владелец: 努比亚技术有限公司. Дата публикации: 2019-05-31.

Liquid ejecting apparatus and dummy jet method

Номер патента: JP5992372B2. Автор: 剛 三田,三田 剛,学 勝村. Владелец: Fujifilm Corp. Дата публикации: 2016-09-14.

Information carrier comprising access information and dummy information

Номер патента: US20060064601A1. Автор: Aalbert Stek,Bart Van Rompaey,Martinus Blum. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2006-03-23.

Method of manufacturing fuel cell stack and dummy cell

Номер патента: JP6633114B2. Автор: 井上 大輔,大輔 井上,智史 青木. Владелец: Honda Motor Co Ltd. Дата публикации: 2020-01-22.

Management system, management method, and dummy container

Номер патента: US11965901B2. Автор: Yoshihiro Seto,Haruyasu Nakatsugawa. Владелец: Fujifilm Corp. Дата публикации: 2024-04-23.

Suspension systems and methods with independent stiffness and height tuning

Номер патента: WO2011134088A1. Автор: YIN Zhihong,Babak Ebrahimi,Amir Khajepour,Cao Dongpu. Владелец: Cao Dongpu. Дата публикации: 2011-11-03.

Suspension systems and methods with independent stiffness and height tuning

Номер патента: US8672335B2. Автор: Babak Ebrahimi,Amir Khajepour,Zhihong Yin,Dongpu Cao. Владелец: Dongpu Cao. Дата публикации: 2014-03-18.

Suspension systems and methods with independent stiffness and height tuning

Номер патента: CA2797304C. Автор: YIN Zhihong,Babak Ebrahimi,Amir Khajepour,Cao Dongpu. Владелец: Individual. Дата публикации: 2018-06-05.

Gated height adjustable barrier

Номер патента: US20080202047A1. Автор: Mark A. Flannery. Владелец: Individual. Дата публикации: 2008-08-28.

All-fiber laser beam tuning by adjustment of angular intensity distribution

Номер патента: EP4437375A1. Автор: Dahv A.V. Kliner,Roger L. Farrow,Juan Carlos Lugo,Brendan G. O'DEA. Владелец: NLight Inc. Дата публикации: 2024-10-02.

Gate design with concentric channel for separating off-ratio material

Номер патента: EP2969453A4. Автор: Brian D Wilson. Владелец: Michelin Recherche et Technique SA Switzerland. Дата публикации: 2016-10-26.

Choke control tuned by flow coefficient for controlled pressure drilling

Номер патента: US09995098B2. Автор: Jeffrey W. Niazy,Jose D. Brana. Владелец: Weatherford Technology Holdings LLC. Дата публикации: 2018-06-12.

GATE DESIGN WITH PLUNGING NOZZLE AND A WASTE RESERVOIR FOR SEPARATING OFF RATIO MATERIAL

Номер патента: US20160031137A1. Автор: WILSON Brian D. Владелец: . Дата публикации: 2016-02-04.

GATE DESIGN WITH CONCENTRIC CHANNEL FOR SEPARATING OFF-RATIO MATERIAL

Номер патента: US20160059457A1. Автор: WILSON Brian D. Владелец: . Дата публикации: 2016-03-03.

DYNAMIC MICROPROCESSOR GATE DESIGN TOOL FOR AREA/TIMING MARGIN CONTROL

Номер патента: US20170357747A1. Автор: Reddy Lakshmi N.,Kazda Michael A.,Washburn Cindy S.,Mets Arjen A.,Zhou Nancy Y.. Владелец: . Дата публикации: 2017-12-14.

Iron fitting for a gate designed to be installed on sloping ground

Номер патента: FR2685380A1. Автор: Brachet Nicolas Societ Cofreco. Владелец: COFRECO. Дата публикации: 1993-06-25.

Multi-thread power-gating design

Номер патента: TW200841157A. Автор: Kuo-Yu Chuang,Chung-Hsien Wu,Yi-Ping You,Jeng-Kuen Lee. Владелец: Nat Univ Tsing Hua. Дата публикации: 2008-10-16.

Etalon assembly tuned by applying counterbalanced compression forces

Номер патента: EP1678538A2. Автор: Yakov Sidorin,Manuel B. Serrano,David Lunt,Andrew G. Lunt. Владелец: Coronado Technology Group LLC. Дата публикации: 2006-07-12.

Carbon Molecular Sieve Membrane (CMSM) Performance Tuning By Dual Temperature Secondary Oxygen Doping (DTSOD)

Номер патента: US20140000454A1. Автор: Koros William John,Singh Rachana. Владелец: . Дата публикации: 2014-01-02.

Choke Control Tuned by Flow Coefficient for Controlled Pressure Drilling

Номер патента: US20160102511A1. Автор: Brana Jose D.,Niazy Jeffrey W.. Владелец: . Дата публикации: 2016-04-14.

OLED COLOR TUNING BY DRIVING MODE VARIATION

Номер патента: US20150213747A1. Автор: Adamovich Vadim,Michalski Lech,"OConnor Michael",Weaver Michael Stuart. Владелец: . Дата публикации: 2015-07-30.

Text to speech prompt tuning by example

Номер патента: US20210280167A1. Автор: Raul Fernandez,Michael Alan Picheny,Maria E. Smith,Radek Kazbunda. Владелец: International Business Machines Corp. Дата публикации: 2021-09-09.

OLED color tuning by driving mode variation

Номер патента: US10839734B2. Автор: Vadim Adamovich,Michael O'Connor,Michael Stuart Weaver,Lech Michalski. Владелец: Universal Display Corp. Дата публикации: 2020-11-17.

Choke control tuned by flow coefficient for controlled pressure drilling

Номер патента: NO344052B1. Автор: Jose D Brana,Jeffrey W Niazy. Владелец: Weatherford Tech Holdings Llc. Дата публикации: 2019-08-26.

Choke control tuned by flow coefficient for controlled pressure drilling

Номер патента: AU2015328157B2. Автор: Jeffrey W. Niazy,Jose D. Brana. Владелец: Weatherford Technology Holdings LLC. Дата публикации: 2018-02-15.

Choke control tuned by flow coefficient for controlled pressure drilling

Номер патента: AU2015328157A1. Автор: Jeffrey W. Niazy,Jose D. Brana. Владелец: Weatherford Technology Holdings LLC. Дата публикации: 2017-04-20.

Choke control tuned by flow coefficient for controlled pressure drilling

Номер патента: GB201705947D0. Автор: . Владелец: Weatherford Technology Holdings LLC. Дата публикации: 2017-05-31.

Etalon assembly tuned by applying counterbalanced compression forces

Номер патента: US20050078906A1. Автор: Manuel Serrano,Yakov Sidorin,David Lunt,Andrew Lunt. Владелец: Individual. Дата публикации: 2005-04-14.

Customized polishing pads for cmp and methods of fabrication and use thereof

Номер патента: IL185099A. Автор: . Владелец: Nexplanar Corp. Дата публикации: 2013-11-28.

CUSTOMIZED POLISHING PADS FOR CMP AND METHODS OF FABRICATION AND USE THEREOF

Номер патента: US20150065020A1. Автор: Misra Sudhanshu,ROY Pradip K.,DEOPURA Manish. Владелец: . Дата публикации: 2015-03-05.

POLISHING LIQUID FOR CMP AND PREPARATION METHOD AND USE THEREOF

Номер патента: US20190185715A1. Автор: Jiang Hong,Wang Lejun,LI Linlin,Song Shijia,Liu Guiyong,Peng Dongyang. Владелец: . Дата публикации: 2019-06-20.

CUSTOMIZED POLISHING PADS FOR CMP AND METHODS OF FABRICATION AND USE THEREOF

Номер патента: US20160229025A1. Автор: Misra Sudhanshu,ROY Pradip K.,DEOPURA Manish. Владелец: . Дата публикации: 2016-08-11.

Customized polishing pads for CMP and methods of fabrication and use thereof

Номер патента: US7704125B2. Автор: Pradip K. Roy,Manish Deopura,Sudhanshu Misra. Владелец: Nexplanar Corp. Дата публикации: 2010-04-27.

Customized polishing pads for CMP and methods of fabrication and use thereof

Номер патента: US20060189269A1. Автор: Manish Deopura,Sudhanshu Misra,Pradip Roy. Владелец: Roy Pradip K. Дата публикации: 2006-08-24.

Customized Polishing Pads for CMP and Methods of Fabrication and Use Thereof

Номер патента: US20090053976A1. Автор: Pradip K. Roy,Manish Deopura,Sudhanshu Misra. Владелец: Individual. Дата публикации: 2009-02-26.

Customized polishing pads for cmp and methods of fabrication and use thereof

Номер патента: IL185099D0. Автор: . Владелец: Neopad Technologies Corp. Дата публикации: 2007-12-03.

Customized polishing pads for CMP and methods of fabrication and use thereof

Номер патента: CN101166604A. Автор: S·米斯拉,P·K·罗伊,M·德奥普拉. Владелец: Neopad Technologies Corp. Дата публикации: 2008-04-23.

Customized polishing pads for CMP and methods of fabrication and use thereof

Номер патента: US9278424B2. Автор: Manish Deopura,Sudhanshu Misra,Pradip K Roy. Владелец: Nexplanar Corp. Дата публикации: 2016-03-08.

Customized polishing pads for cmp and methods of fabrication and use thereof

Номер патента: CA2598272A1. Автор: Pradip K. Roy,Manish Deopura,Sudhanshu Misra. Владелец: Neopad Technologies Corporation. Дата публикации: 2006-08-24.

Back pressure control system for CMP and wafer polishing

Номер патента: US20060166611A1. Автор: Alan Strasbaugh. Владелец: Strasbaugh Inc. Дата публикации: 2006-07-27.

POLISHING LIQUID FOR CMP, AND POLISHING METHOD

Номер патента: US20160137881A1. Автор: IWANO Tomohiro,MINAMI Hisataka,Akutsu Toshiaki,TAKIZAWA Toshio,Oota Munehiro. Владелец: . Дата публикации: 2016-05-19.

Self-aligned lens formed on a single mode optical fiber using CMP and thin film deposition

Номер патента: US20030138201A1. Автор: David Mikolas. Владелец: Cabot Microelectronics Corp. Дата публикации: 2003-07-24.

Polishing composition for silicon carbide CMP and preparation method thereof

Номер патента: CN112029417A. Автор: 宋伟红,蔡庆东. Владелец: Changzhou Shichuang Materials Co ltd. Дата публикации: 2020-12-04.

Smooth pads for CMP and polishing substrates

Номер патента: US20030181155A1. Автор: Guangwei Wu,Thomas West,Donald Dietz. Владелец: Individual. Дата публикации: 2003-09-25.

Pads for CMP and polishing substrates

Номер патента: US20030100250A1. Автор: Thomas West. Владелец: West Thomas E.. Дата публикации: 2003-05-29.

Back pressure control system for cmp and wafer polishing

Номер патента: WO2004109758A2. Автор: Alan Strasbaugh. Владелец: STRASBAUGH. Дата публикации: 2004-12-16.

Semiconductor device with dummy gate and method for manufacturing the same

Номер патента: KR100679942B1. Автор: 임성혁. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-02-08.

Display panel with dummy gate line structure

Номер патента: KR102302629B1. Автор: 홍성진,배나영. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2021-09-15.

Display panel with dummy gate line structure

Номер патента: KR20170005246A. Автор: 홍성진,배나영. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2017-01-12.

Yield of dies by adding dummy pattern on open area of multi-project mask

Номер патента: US20010033975A1. Автор: Wen-Jye Chung,Hsueh-Ling Kuo. Владелец: Individual. Дата публикации: 2001-10-25.

Integrated circuit device including a through-via structure

Номер патента: US12062594B2. Автор: BongJin SON. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-08-13.

Method of manufacturing semiconductor device and semiconductor device by the same

Номер патента: US20190221439A1. Автор: Sung Min Kim,Dong Won Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-07-18.

Vertical FET with strained channel

Номер патента: US09704990B1. Автор: Shogo Mochizuki,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Insulated gate bipolar device

Номер патента: US09634131B2. Автор: Yuzhu Li. Владелец: Changzhou Zhongmin Semi-Tech Co Ltd. Дата публикации: 2017-04-25.

Method of manufacturing semiconductor package structure

Номер патента: US11699694B2. Автор: Chen-Hua Yu,Wen-Chih Chiou,Ming-Fa Chen,Yi-Hsiu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-07-11.

Integrated circuit polishing method

Номер патента: US5314843A. Автор: Chris C. Yu,Trung T. Doan,Gurtej S. Sandhu. Владелец: Micron Technology Inc. Дата публикации: 1994-05-24.

Beol integration scheme for copper cmp to prevent dendrite formation

Номер патента: US20140065815A1. Автор: Kunaljeet Tanwar. Владелец: Globalfoundries Inc. Дата публикации: 2014-03-06.

Semiconductor device and method for fabricating the same

Номер патента: US20210343739A1. Автор: Kuang-Wen Liu,Cheng-Wei Lin,Jr-Meng Wang. Владелец: Macronix International Co Ltd. Дата публикации: 2021-11-04.

Light sensors having dielectric optical coating filters

Номер патента: US20150102444A1. Автор: Francois Hebert,Eric S. Lee,Michael I-Shan Sun. Владелец: INTERSIL AMERICAS LLC. Дата публикации: 2015-04-16.

Semiconductor package and manufacturing method thereof

Номер патента: US20240063098A1. Автор: Hao-Yi Tsai,Tzuan-Horng LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-22.

Memory device

Номер патента: US11763879B2. Автор: Sangwan Nam,SeungYeon Kim,Jiho Cho,Hongsoo Jeon,Yoonjee Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-09-19.

Forming method of semiconductor structure and semiconductor structure

Номер патента: US20230101884A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-30.

Method and apparatus for fabricating a carbon nanotube transistor

Номер патента: US20090032803A1. Автор: Phaedon Avouris,Yu-Ming Lin,Joerg Appenzeller. Владелец: Individual. Дата публикации: 2009-02-05.

Vertical compound semiconductor field effect transistor structure

Номер патента: US6818939B1. Автор: Peyman Hadizad. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2004-11-16.

Semiconductor device having dummy active fin patterns

Номер патента: US09929156B2. Автор: Jong Hyun Lee,Hyun Jae Lee,Sung Wook Hwang,Jae Seok Yang,In Wook OH. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-03-27.

Semiconductor device

Номер патента: US09634130B2. Автор: Yuichi Onozawa. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-04-25.

Method of manufacturing components of display panel assembly from same mother substrate

Номер патента: US09437621B2. Автор: Sang-Myoung LEE,Osung Seo,Seungjun YU. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-09-06.

Display device

Номер патента: US20230317734A1. Автор: Ji Hye Lee,Yong Hee Lee,Kyung Bae Kim,Jin Seon Kwak. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-10-05.

Semiconductor package for improving bonding reliability

Номер патента: US11658139B2. Автор: Jaehyung Park,Hoonjoo NA,Seokho KIM,Seongmin Son,Kyuha Lee,Yikoan Hong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-05-23.

Layout for measuring overlapping state

Номер патента: US20220189943A1. Автор: Chun-Chiao Tseng,Chi-Hung Chan,Hung-Ming Su. Владелец: Winbond Electronics Corp. Дата публикации: 2022-06-16.

Vertical memory device having improved electrical characteristics and method of operating the same

Номер патента: US20210166768A1. Автор: Yo-Han Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-06-03.

Vertical memory device having improved electrical characteristics and method of operating the same

Номер патента: US20200152273A1. Автор: Yo-Han Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-05-14.

Vertical memory device having improved electrical characteristics and method of operating the same

Номер патента: US20200357473A1. Автор: Yo-Han Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-11-12.

Semiconductor device and data storage system including the same

Номер патента: US20230171965A1. Автор: Seungmin Lee,Kwanyong Kim,Jihwan Yu. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-06-01.

Memory device and manufacturing method of the memory device

Номер патента: US20240324202A1. Автор: Jae Ho Kim. Владелец: SK hynix Inc. Дата публикации: 2024-09-26.

Semiconductor devices and methods of manufacturing the same

Номер патента: US09859297B2. Автор: Dae-Seok Byeon,Jong-hyun Park,Jee-Yong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-01-02.

Variable resistance memory device

Номер патента: US11895850B2. Автор: Min Chul Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-02-06.

Image compensation device

Номер патента: US20240258280A1. Автор: Chia-Hsin Chao,Ming-Hsien Wu,Li-Chun Huang,Chy-Lin Wang,Ren-Lu CHEN. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2024-08-01.

Non-volatile memory device and manufacturing method thereof

Номер патента: US12089419B2. Автор: Chia-Chang Hsu,Cheng-Yi Lin,Chia-hung Lin,Tang Chun Weng,Yung Shen Chen. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-10.

Semiconductor memory device and method of operating the same

Номер патента: US09997248B2. Автор: Jung Hwan Lee. Владелец: SK hynix Inc. Дата публикации: 2018-06-12.

Image sensor

Номер патента: US20180204874A1. Автор: Kwang-Min Lee,Taeyon Lee,Dongmo Im,Beomsuk Lee,GwideokRyan Lee,Seokjin Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-07-19.

Display device

Номер патента: US11730020B2. Автор: Sang Jin Lee,Chong Sup Chang,Hee Na KIM,Cha Dong Kim,In Kyung Yoo. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-08-15.

Semiconductor memory

Номер патента: US7978555B2. Автор: Koji Shimosako. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2011-07-12.

Non-volatile memory device

Номер патента: US20230387053A1. Автор: Changhun KIM,Jaeick Son. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-11-30.

Semiconductor memory device and method of operating the same

Номер патента: US20180137919A1. Автор: Jung Hwan Lee. Владелец: SK hynix Inc. Дата публикации: 2018-05-17.

Display device

Номер патента: EP4333058A1. Автор: Dong Hee Shin,Do Yeong PARK,Kyung Bae Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-03-06.

Semiconductor integrated circuit device

Номер патента: US8546851B2. Автор: Hiroshi Furuta,Takaaki Kobayashi,Ryuichi Okamura,Toshifumi Takahashi,Tomoya MORITA,Hirofumi AZUHATA. Владелец: Renesas Electronics Corp. Дата публикации: 2013-10-01.

Display device

Номер патента: US20240072016A1. Автор: Dong Hee Shin,Do Yeong PARK,Kyung Bae Kim. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-02-29.

On-chip peltier cooling device and manufacturing method thereof

Номер патента: US20220399247A1. Автор: Xiong Zhang. Владелец: Montage Technology Shanghai Co Ltd. Дата публикации: 2022-12-15.

Manufacturing method of display device

Номер патента: US20150147838A1. Автор: Takeshi Ookawara. Владелец: Japan Display Inc. Дата публикации: 2015-05-28.

Solid-state image pickup device, method for manufacturing the same, and electronic apparatus

Номер патента: US20110079866A1. Автор: Hiroshi Takahashi,Kenichi Nishizawa. Владелец: Sony Corp. Дата публикации: 2011-04-07.

Fuel cell stack, fuel cell stack dummy cell, method of producing dummy cell

Номер патента: US10971737B2. Автор: Daisuke Inoue,Satoshi Aoki,Yukihito Tanaka. Владелец: Honda Motor Co Ltd. Дата публикации: 2021-04-06.

Dummy ground plug adapter

Номер патента: US10840658B1. Автор: Charles D. Glahe. Владелец: Abcr Inc. Дата публикации: 2020-11-17.

Circuit arrangement comprising an isolator integral with an admittance element

Номер патента: US4724399A. Автор: Wakoto Akinaga. Владелец: NEC Corp. Дата публикации: 1988-02-09.

Circuit arrangement comprising an isolator integral with an admittance element

Номер патента: CA1215438A. Автор: Wakoto Akinaga. Владелец: NEC Corp. Дата публикации: 1986-12-16.

Optically transparent conductive material

Номер патента: US20190302930A1. Автор: Kazuhiko Sunada,Takenobu Yoshiki. Владелец: Mitsubishi Paper Mills Ltd. Дата публикации: 2019-10-03.

Method of inspection for erroneous assembly of fuel cell stacks

Номер патента: US20210265647A1. Автор: Takashi Kato,Toru Ikeda,Keiichi Iio,Naohiro Kawakita. Владелец: Honda Motor Co Ltd. Дата публикации: 2021-08-26.

Plasma display panel and fabrication method thereof

Номер патента: EP2178104A3. Автор: Chong-Gi Hong. Владелец: Samsung SDI Co Ltd. Дата публикации: 2010-12-15.

All-to-all coupled quantum computing with atomic ensembles in an optical cavity

Номер патента: US12106181B2. Автор: Mikhail Lukin,Vladan Vuletic,Joshua Ramette,Zachary Vendeiro. Владелец: Harvard College. Дата публикации: 2024-10-01.

Semiconductor device

Номер патента: US20240298438A1. Автор: Eunjung Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-09-05.

Display device including cover window

Номер патента: US12131672B2. Автор: Hyun Seok Oh,Kang-woo Lee,Yougn Do KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-10-29.

Method of forming vertical memory devices with improved dummy channel structures

Номер патента: US12137562B2. Автор: Yuhui HAN. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-11-05.

Circuit board including dummy electrode formed on substrate

Номер патента: US20180288869A1. Автор: Nobumasa Tanaka,Shoko Ota,Ryuji HORATA. Владелец: Brother Industries Ltd. Дата публикации: 2018-10-04.

Touch Display Device, Display Panel, and Gate Driving Circuit

Номер патента: US20230367410A1. Автор: Joonho Lee,Hyunho Park,Byoungwoo KIM,Sunghoon Paik. Владелец: LG Display Co Ltd. Дата публикации: 2023-11-16.

Acoustic wave resonator, filter, and multiplexer

Номер патента: US20230387882A1. Автор: Shodai HARAI,Mayu TSUKADA. Владелец: TAIYO YUDEN CO LTD. Дата публикации: 2023-11-30.

A signal generator

Номер патента: EP3767850A1. Автор: Paul Hill,Richard Harrison. Владелец: Kirintec Ltd. Дата публикации: 2021-01-20.

Memory device

Номер патента: US20240292621A1. Автор: Yugo Masuda,Takahito Nishimura,Shota URATANI. Владелец: Kioxia Corp. Дата публикации: 2024-08-29.

Method of manufacturing a liquid jet head

Номер патента: US09340024B2. Автор: Osamu Koseki. Владелец: SII Printek Inc. Дата публикации: 2016-05-17.

Method for manufacturing laminated core

Номер патента: CA2936169C. Автор: Akira Nagai,Shogo Tezuka. Владелец: Mitsui High Tec Inc. Дата публикации: 2019-06-04.

All-to-All Coupled Quantum Computing with Atomic Ensembles in an Optical Cavity

Номер патента: US20210398009A1. Автор: Mikhail Lukin,Vladan Vuletic,Joshua Ramette,Zachary Vendeiro. Владелец: Individual. Дата публикации: 2021-12-23.

Reducing Disturbs With Delayed Ramp Up Of Dummy Word Line After Pre-charge During Programming

Номер патента: US20190108883A1. Автор: Yingda Dong,Xuehong Yu. Владелец: SanDisk Technologies LLC. Дата публикации: 2019-04-11.

Reducing disturbs with delayed ramp up of dummy word line after pre-charge during programming

Номер патента: EP3669365A1. Автор: Yingda Dong,Xuehong Yu. Владелец: SanDisk Technologies LLC. Дата публикации: 2020-06-24.

Socket transferring for hpc networks using kernel tracing

Номер патента: US20230052452A1. Автор: Tatsuhiro Chiba,Sunyanan Choochotkaew. Владелец: International Business Machines Corp. Дата публикации: 2023-02-16.

Socket transferring for hpc networks using kernel tracing

Номер патента: WO2023017350A1. Автор: Tatsuhiro Chiba,Sunyanan Choochotkaew. Владелец: Ibm (China) Investment Company Limited. Дата публикации: 2023-02-16.

Turbo coding having combined turbo de-padding and rate matching de-padding

Номер патента: US20120063537A1. Автор: Ba-Zhong Shen,Tak K. Lee. Владелец: Broadcom Corp. Дата публикации: 2012-03-15.

Method for manufacturing wiring board

Номер патента: US09743528B2. Автор: Toshihide MAKINO. Владелец: Ibiden Co Ltd. Дата публикации: 2017-08-22.

Anonymous location service for wireless networks

Номер патента: US09571958B2. Автор: Samuel N. Zellner,Mark J. Enzmann,Robert T. Moton, Jr.. Владелец: AT&T INTELLECTUAL PROPERTY I LP. Дата публикации: 2017-02-14.

Socket transferring for HPC networks using kernel tracing

Номер патента: US11902251B2. Автор: Tatsuhiro Chiba,Sunyanan Choochotkaew. Владелец: International Business Machines Corp. Дата публикации: 2024-02-13.

Solid state imaging element and camera system

Номер патента: US20140002703A1. Автор: Hiroaki Ebihara. Владелец: Sony Corp. Дата публикации: 2014-01-02.

Anonymous Location Service For Wireless Networks

Номер патента: US20130171973A1. Автор: Samuel N. Zellner,Mark J. Enzmann,Robert T. Moton, Jr.. Владелец: AT&T INTELLECTUAL PROPERTY I LP. Дата публикации: 2013-07-04.

Local power gate (LPG) interfaces for power-aware operations

Номер патента: US09519324B2. Автор: Michael Mishaeli,Zeev Sperber,Ron Gabor,Robert C. Valentine,Alex Gerber. Владелец: Intel Corp. Дата публикации: 2016-12-13.

Instruction and logic for store broadcast and power management

Номер патента: US09501132B2. Автор: Michael Mishaeli,Stanislav Shwartsman,Gal Ofir,Yulia Kurolap. Владелец: Intel Corp. Дата публикации: 2016-11-22.

Gate device, authentication system, gate control method, and storage medium

Номер патента: US12087118B2. Автор: Junichi Inoue. Владелец: NEC Corp. Дата публикации: 2024-09-10.

Circuitry for tracking bias voltage behavior

Номер патента: US20190378550A1. Автор: Rahul Mathur,Gaurang Prabhakar Narvekar,Rajesh Reddy Challa. Владелец: ARM LTD. Дата публикации: 2019-12-12.

Gate device, authentication system, gate control method, and storage medium

Номер патента: AU2020436230A1. Автор: Junichi Inoue. Владелец: NEC Corp. Дата публикации: 2022-05-26.

Gate device, authentication system, gate control method, and storage medium

Номер патента: AU2024202070A1. Автор: Junichi Inoue. Владелец: NEC Corp. Дата публикации: 2024-04-18.

Protective coatings for CMP conditioning disk

Номер патента: US20010046835A1. Автор: Roy Wielonski,Mohamed El-Shazly. Владелец: Individual. Дата публикации: 2001-11-29.

Semiconductor memory device having dummy sense amplifiers and methods of utilizing the same

Номер патента: US20100118633A1. Автор: Dong-Min Kim,Sang-Seok Kang,Min-Ki HONG. Владелец: Individual. Дата публикации: 2010-05-13.

Display device

Номер патента: EP4386735A2. Автор: Chung Yi,Ji Hyun KA,Tae Hoon Kwon,Ki Myeong Eom,Deok Jun Choi,Moon Sang Hwang,Se Byung CHAE. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-06-19.

Device for mortars

Номер патента: US5381720A. Автор: Karl-Axel Roheim. Владелец: Food Mark Production AB. Дата публикации: 1995-01-17.

Display device

Номер патента: EP4386735A3. Автор: Chung Yi,Ji Hyun KA,Tae Hoon Kwon,Ki Myeong Eom,Deok Jun Choi,Moon Sang Hwang,Se Byung CHAE. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-07-10.

Liquid discharge head and recording device

Номер патента: US20240308206A1. Автор: Akinori Hirashima. Владелец: Kyocera Corp. Дата публикации: 2024-09-19.

Display device

Номер патента: US09767732B2. Автор: Kyong Tae Park,Yu Hyun CHO,Mi Jin Yoon. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-09-19.

Subsea dummy run elimination assembly and related method

Номер патента: US09650885B2. Автор: Paul David Ringgenberg,Dalmo Massaru WAKABAYASHI. Владелец: Halliburton Energy Services Inc. Дата публикации: 2017-05-16.

Display panel and display device

Номер патента: US20230205335A1. Автор: KANG Yang,Peng Zhang,Qibing WEI. Владелец: Wuhan Tianma Microelectronics Co Ltd. Дата публикации: 2023-06-29.

Liquid discharge head and recording device

Номер патента: EP4368398A1. Автор: Akinori Hirashima. Владелец: Kyocera Corp. Дата публикации: 2024-05-15.

Non-volatile memory with adaptive dummy word line bias

Номер патента: US20240177778A1. Автор: PENG Wang,Jie Liu,Xiaoyu Yang,Feng Gao,Yihang Liu,Xiaochen Zhu,Lito De La RAMA. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-05-30.

Method and device for multi-level programming of a memory cell

Номер патента: EP1020870A1. Автор: Chin Hsi Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2000-07-19.

Systems and methods for detection of anomalous entities

Номер патента: US09753968B1. Автор: Amir Ronen,Ron Karidi,Sagie Davidovich,Meir Maor. Владелец: Sparkbeyond Ltd. Дата публикации: 2017-09-05.

Radionuclide generation system and method of producing radionuclides

Номер патента: CA2984329C. Автор: Thomas Fabian RICHTER,Alexander SYKORA,Lothar Wistuba. Владелец: Framatome GmbH. Дата публикации: 2022-08-23.

Display panel and display device

Номер патента: US11921942B2. Автор: KANG Yang,Peng Zhang,Qibing WEI. Владелец: Wuhan Tianma Microelectronics Co Ltd. Дата публикации: 2024-03-05.

Display device

Номер патента: US20220199011A1. Автор: Chung Yi,Ji Hyun KA,Tae Hoon Kwon,Ki Myeong Eom,Deok Jun Choi,Moon Sang Hwang,Se Byung CHAE. Владелец: Samsung Display Co Ltd. Дата публикации: 2022-06-23.

Display device

Номер патента: US11900871B2. Автор: Chung Yi,Ji Hyun KA,Tae Hoon Kwon,Ki Myeong Eom,Deok Jun Choi,Moon Sang Hwang,Se Byung CHAE. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-02-13.

Display device and method of inspection

Номер патента: US11971637B2. Автор: Koji Yamamoto,Kengo Shiragami,Naoyuki OBINATA. Владелец: Japan Display Inc. Дата публикации: 2024-04-30.

Radionuclide generation system and method of producing radionuclides

Номер патента: CA3128914C. Автор: Thomas Fabian RICHTER,Alexander SYKORA,Lothar Wistuba. Владелец: Framatome GmbH. Дата публикации: 2023-10-03.

Semiconductor apparatus with open bit line structure

Номер патента: US8867282B2. Автор: Kee Teok Park,Tae Sik Yun. Владелец: SK hynix Inc. Дата публикации: 2014-10-21.

Liquid crystal display device with seal contacting substrates between two conductive films of dummy electrodes

Номер патента: US5619358A. Автор: Shozo Tanaka,Kazuya Yoshimura. Владелец: Sharp Corp. Дата публикации: 1997-04-08.

Touch display panel and display device

Номер патента: US11914826B2. Автор: Jian Ye. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2024-02-27.

Memory device and method of operating the memory device

Номер патента: US20240028217A1. Автор: Tae Hun Park. Владелец: SK hynix Inc. Дата публикации: 2024-01-25.

Liquid crystal display panel for multi-display

Номер патента: US20070252935A1. Автор: Sung-Kee Kang,Ho-nyeon Lee,In-Seo Kee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-11-01.

Non-volatile memory with early dummy word line ramp down after precharge

Номер патента: US12112812B2. Автор: Xiang Yang,Dengtao Zhao,Jiacen Guo. Владелец: SanDisk Technologies LLC. Дата публикации: 2024-10-08.

Protection of neural networks by obfuscation of activation functions

Номер патента: US12099622B2. Автор: Helena Handschuh,Mark Evan MARSON,Michael Alexander HAMBURG. Владелец: Cryptography Research Inc. Дата публикации: 2024-09-24.

Improvements in Infants Feeding Bottles and Dummies.

Номер патента: GB190214005A. Автор: Selina Jane Bancroft. Владелец: Individual. Дата публикации: 1902-09-04.

DUMMY-METAL-LAYOUT EVALUATING DEVICE AND DUMMY-METAL-LAYOUT EVALUATING METHOD

Номер патента: US20120047472A1. Автор: FUKUDA Daisuke. Владелец: FUJITSU LIMITED. Дата публикации: 2012-02-23.

Dummy wafer manufacturing method and dummy wafer manufacturing boat

Номер патента: JP4260495B2. Автор: 健介 冨永. Владелец: Bridgestone Corp. Дата публикации: 2009-04-30.

Teats and dummies.

Номер патента: ZA944373B. Автор: Theo Trevor Opperman,Wayne Ferdinand Nel. Владелец: Wayne Ferdinand Nel. Дата публикации: 1995-04-25.

Treating device of discard and dummy block in horizontal type extrusion press

Номер патента: JPS55128317A. Автор: Shoichi Ueda,Takahisa Tabuchi,Tetsuya Miyauchi. Владелец: Kobe Steel Ltd. Дата публикации: 1980-10-04.

Transistor with Embedded Strain-Inducing Material and Dummy Gate Electrodes Positioned Adjacent to the Active Region

Номер патента: US20120025315A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-02-02.

Method for checking train integrity based on GPS and dummy satellite combined positioning

Номер патента: CN101187550A. Автор: 王剑,安毅,蔡伯根,惠希云. Владелец: Beijing Jiaotong University. Дата публикации: 2008-05-28.

A kind of initial and final double-spelling input method of Chinese character and dummy keyboard thereof

Номер патента: CN102253728B. Автор: 钱萍,钱任举. Владелец: Individual. Дата публикации: 2015-12-16.

Waterproof connector and dummy plug

Номер патента: JP2013258117A. Автор: Kazuo Nakai,満謙 北島,和雄 中居,Mitsukane Kitajima. Владелец: Sumitomo Wiring Systems Ltd. Дата публикации: 2013-12-26.

Emulation download print method and dummy printer

Номер патента: KR970073994A. Автор: 이돈선. Владелец: 김광호. Дата публикации: 1997-12-10.

Cleaning method and dummy wafer

Номер патента: JP2016163007A. Автор: Takao Tsumaki,崇雄 妻木. Владелец: Nuflare Technology Inc. Дата публикации: 2016-09-05.

Data transmission method, optical transmission system, and dummy data insertion device

Номер патента: JP4710487B2. Автор: 登 枝川,一歩 小原. Владелец: KDDI Corp. Дата публикации: 2011-06-29.

METHOD AND DEVICE TO ACHIEVE SELF-STOP AND PRECISE GATE HEIGHT

Номер патента: US20140061732A1. Автор: Liu Huang,Lee James,Yu Hong,Haiting Wang,Moon Yongsik. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2014-03-06.

FACILITATING GATE HEIGHT UNIFORMITY AND INTER-LAYER DIELECTRIC PROTECTION

Номер патента: US20140110794A1. Автор: Ponoth Shom,Xie Ruilong,Cai Xiuyu,BALASUBRAMANIAN Pranatharthiharan. Владелец: . Дата публикации: 2014-04-24.

Piano tuning by utilizing computer

Номер патента: JPS5630191A. Автор: Hiroyoshi Kishimoto. Владелец: Individual. Дата публикации: 1981-03-26.

Improvements in circuits for tuning by means ofa variable inductance

Номер патента: AU889455A. Автор: Douwe Meewezen Willem. Владелец: Philips Electrical Industries Pty Ltd. Дата публикации: 1956-11-08.

Re-Driver with Pre-Emphasis Injected Through a Transformer and Tuned by an L-C Tank

Номер патента: US20120242377A1. Автор: Zhang Michael Y.,Yeung Tony. Владелец: PERICOM SEMICONDUCTOR CORP.. Дата публикации: 2012-09-27.

TRANSISTORIZED VHF TUNER, WITH TUNING BY MEANS OF VARIABLE CAPACITY DIODES.

Номер патента: ES115474Y. Автор: . Владелец: Perez Fernandez-Tellado ruperto. Дата публикации: 1966-05-01.

Method of generating hollow hight beam and tuning by utilizing optical fiber intermodal interference

Номер патента: CN1834706A. Автор: 李恩邦. Владелец: TIANJIN UNIVERSITY. Дата публикации: 2006-09-20.

Superheterodyne receiver with resonant circuit tuning by means of capacitance diodes

Номер патента: AU1541370A. Автор: En Lehrke Herb. Владелец: Licentia Patent Verwaltungs GmbH. Дата публикации: 1971-11-25.

Improvements in circuits for tuning by means ofa variable inductance

Номер патента: AU216276B2. Автор: Douwe Meewezen Willem. Владелец: Philips Electrical Industries Pty Ltd. Дата публикации: 1956-11-08.

SLURRY COMPOSITION FOR CMP, AND POLISHING METHOD

Номер патента: US20120270399A1. Автор: CHO Seung-Beom,Shin Dong-Mok,CHOI Eun-Mi. Владелец: LG CHEM, LTD.. Дата публикации: 2012-10-25.

POLISHING LIQUID FOR CMP AND POLISHING METHOD USING THE SAME

Номер патента: US20120315763A1. Автор: . Владелец: HITACHI CHEMICAL COMPANY, LTD.. Дата публикации: 2012-12-13.

Polishing liquid for CMP and polishing method using the same

Номер патента: JP6551053B2. Автор: 隆 篠田,田中 孝明,孝明 田中,寿夫 瀧澤,愛子 木野. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2019-07-31.

Polishing liquid for CMP and polishing method using the same

Номер патента: JP6601209B2. Автор: 陽介 星,真悟 小林,孝明 田中,愛子 木野. Владелец: Showa Denko Materials Co Ltd. Дата публикации: 2019-11-06.

Polishing pad for CMP and polishing apparatus using the same

Номер патента: JP3229986B2. Автор: 彰 石川. Владелец: Nikon Corp. Дата публикации: 2001-11-19.

Semiconductor device using CMP and manufacturing method thereof

Номер патента: CN101471288A. Автор: 井谷直毅. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2009-07-01.

Polishing pad for CMP and polishing method using the same

Номер патента: JP4563025B2. Автор: 雅彦 中森,哲生 下村,孝敏 山田,一幸 小川,淳 数野,毅 木村. Владелец: Toyo Tire and Rubber Co Ltd. Дата публикации: 2010-10-13.

Polishing composition for CMP and method for manufacturing semiconductor device using the polishing composition for CMP

Номер патента: JP6728011B2. Автор: 裕一 坂西. Владелец: Daicel Corp. Дата публикации: 2020-07-22.

Conditioner for CMP and method for manufacturing the same

Номер патента: JP3895840B2. Автор: 知義 原. Владелец: Asahi Diamond Industrial Co Ltd. Дата публикации: 2007-03-22.

SEMICONDUCTOR DEVICE INCLUDING DUMMY GATE PART AND METHOD OF FABRICATING THE SAME

Номер патента: US20120028435A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-02-02.

PATTERNING A GATE STACK OF A NON-VOLATILE MEMORY (NVM) USING A DUMMY GATE STACK

Номер патента: US20120052669A1. Автор: Shroff Mehul D.. Владелец: . Дата публикации: 2012-03-01.

GATE CD CONTROL USING LOCAL DESIGN ON BOTH SIDES OF NEIGHBORING DUMMY GATE LEVEL FEATURES

Номер патента: US20120107729A1. Автор: . Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2012-05-03.

Diodes with Embedded Dummy Gate Electrodes

Номер патента: US20120256292A1. Автор: Yen Kvei-Feng,Yu Ming-Hsin. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-10-11.

METAL OXIDE SEMICONDUCTOR HAVING EPITAXIAL SOURCE DRAIN REGIONS AND METHOD OF MANUFACTURING SAME USING DUMMY GATE PROCES

Номер патента: US20130009210A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2013-01-10.

Method of Manufacturing Dummy Gates in Gate Last Process

Номер патента: US20130059435A1. Автор: Zhao Chao,Chen Dapeng,Yang Tao,Yan Jiang,Li Junfeng,Lu Yihong. Владелец: . Дата публикации: 2013-03-07.

DUMMY GATE FOR A HIGH VOLTAGE TRANSISTOR DEVICE

Номер патента: US20130161739A1. Автор: TSENG Hua-Chou,HSIEH Meng-Wei. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-06-27.

Dummy Gate Structure for Semiconductor Devices

Номер патента: US20130175660A1. Автор: Fu Shih-Chi,Chou Chien-Chih. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-07-11.

INTEGRATED CIRCUIT RESISTOR FABRICATION WITH DUMMY GATE REMOVAL

Номер патента: US20130178039A1. Автор: CHEN Jyh-Huei,Ko Chun-Hung,Wang Shyh-Wei. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-07-11.

Lateral DMOS Device with Dummy Gate

Номер патента: US20130181285A1. Автор: Liu Ruey-Hsin,Cai Jun,Ng Chun-Wai,Chou Hsueh-Liang,Chen Chi-Chih. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2013-07-18.

Dummy Gate Electrode of Semiconductor Device

Номер патента: US20140001559A1. Автор: Chang Ming-Ching,LIN Chih-Han,Lin Jr-Jung. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-01-02.

FinFET with Dummy Gate on Non-Recessed Shallow Trench Isolation (STI)

Номер патента: US20140117454A1. Автор: LIU Chi-Wen,Wang Chao-Hsiung. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2014-05-01.

SEMICONDUCTOR DEVICE MANUFACTURE METHOD AND SEMICONDUCTOR DEVICE

Номер патента: US20120001344A1. Автор: IDANI Naoki,TAKESAKO Satoshi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

METHOD AND APPARATUS FOR INSPECTING PATTERNS FORMED ON A SUBSTRATE

Номер патента: US20120002860A1. Автор: Sakai Kaoru,Shibuya Hisae,Maeda Shunji,Nishiyama Hidetoshi. Владелец: . Дата публикации: 2012-01-05.

STRESS-ENGINEERED RESISTANCE-CHANGE MEMORY DEVICE

Номер патента: US20120001148A1. Автор: . Владелец: INTERMOLECULAR, INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003808A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

Polishing method, polishing apparatus and GaN wafer

Номер патента: US20120001193A1. Автор: YAMAUCHI Kazuto,Sano Yasuhisa,MURATA Junji,YAGI Keita,Sadakuni Shun. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR MODULE AND ELECTRONIC SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120001272A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

RESISTIVE RAM DEVICES AND METHODS

Номер патента: US20120001144A1. Автор: . Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

OLIGONUCLEOTIDE SPOTTING ROBOT FOR WAFER-SCALE SPOTTING OF LOCS

Номер патента: US20120004145A1. Автор: Silverbrook Kia,Azimi Mehdi. Владелец: . Дата публикации: 2012-01-05.

ROM CELL CIRCUIT FOR FINFET DEVICES

Номер патента: US20120001232A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

CARD READER AND CONTROL METHOD OF CARD READER

Номер патента: US20120002313A1. Автор: Ishikawa Kazutoshi,Higashi Katsuhisa,Miyabe Takaaki,Komatsu Yoshihito. Владелец: . Дата публикации: 2012-01-05.

CAMERA CALIBRATION APPARATUS

Номер патента: US20120002057A1. Автор: Kakinami Toshiaki. Владелец: AISIN SEIKI KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

PRINTING DEVICE AND PRINTING METHOD

Номер патента: US20120001974A1. Автор: Mano Takahiro. Владелец: SEIKO EPSON CORPORATION. Дата публикации: 2012-01-05.

Improved machine for the selection and regrouping of perforated cards

Номер патента: GB421776A. Автор: . Владелец: GEORGES OSCAR JEAN FERDINAND L. Дата публикации: 1934-12-31.

Improvements in Elastic Fluid Turbines.

Номер патента: GB190711270A. Автор: Raymond Nelson Ehrhart. Владелец: Individual. Дата публикации: 1907-10-24.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001247A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE & METHOD OF MAKING THEREOF

Номер патента: US20120001249A1. Автор: Alsmeier Johann,Samachisa George. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001250A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

SOLID-STATE IMAGE SENSOR AND CAMERA

Номер патента: US20120002070A1. Автор: . Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.