• Главная
  • Verfahren zur Charakterisierung einer Maske für die Mikrolithographie

Verfahren zur Charakterisierung einer Maske für die Mikrolithographie

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method and apparatus for characterizing a microlithographic mask

Номер патента: US20220075272A1. Автор: Thomas Frank,Holger Seitz,Ulrich Matejka,Asad Rasool. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2022-03-10.

Projection exposure apparatus and method for controlling a projection exposure apparatus

Номер патента: WO2013185919A1. Автор: Markus Deguenther,Michael Patra. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2013-12-19.

Mask for EUV lithography, EUV lithography system and method for optimising the imaging of a mask

Номер патента: US09535332B2. Автор: Johannes Ruoff,Daniel Kraehmer. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2017-01-03.

METHOD FOR DETECTING THE POSITION OF A MASK HOLDER ON A MEASURING TABLE

Номер патента: US20190056674A1. Автор: Solowan Hans-Michael. Владелец: . Дата публикации: 2019-02-21.

Method for characterizing a structure on a mask and device for carrying out said method

Номер патента: US09605946B2. Автор: Sascha Perlitz. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2017-03-28.

Projection exposure method and projection exposure apparatus for microlithography

Номер патента: US09665006B2. Автор: Volker Graeschus,Toralf Gruner. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2017-05-30.

A method for performing transmission tuning of a mask pattern to improve process latitude

Номер патента: CN1674226A. Автор: X·施,D·范登布罗克,J·F·陈,S·D·苏. Владелец: ASML FRISKET TOOLS BV. Дата публикации: 2005-09-28.

Projection exposure tool for microlithography and method for microlithographic imaging

Номер патента: US09709902B2. Автор: Aksel Goehnermeier,Jochen Hetzler. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2017-07-18.

Integration system and the method for operating the same

Номер патента: US20070026322A1. Автор: Chin-Cheng Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2007-02-01.

Mask for euv lithography, euv lithography system and method for optimising the imaging of a mask

Номер патента: US20130100428A1. Автор: Johannes Ruoff,Daniel Kraehmer. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2013-04-25.

Mask for EUV lithography, EUV lithography system and method for optimising the imaging of a mask

Номер патента: TW201224643A. Автор: Johannes Ruoff,Daniel Kraehmer. Владелец: Zeiss Carl Smt Gmbh. Дата публикации: 2012-06-16.

Method for the qualification of a mask for microlithography

Номер патента: US20200285158A1. Автор: Dirk Hellweg. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2020-09-10.

Method and device for characterizing a mask for microlithography

Номер патента: US11188000B2. Автор: Markus Koch,Tobias Mueller,Michael Kamp-Froese. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2021-11-30.

Method and device for characterizing a mask for microlithography

Номер патента: US20200363737A1. Автор: Markus Koch,Tobias Mueller,Michael Kamp-Froese. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2020-11-19.

Method for generating mask pattern

Номер патента: US20240310718A1. Автор: Dong Mao,Ayman Hamouda. Владелец: ASML Netherlands BV. Дата публикации: 2024-09-19.

Source beam optimization method for improving lithography printability

Номер патента: US09990460B2. Автор: Hsu-Ting Huang,Ru-Gun Liu,Shuo-Yen Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-05.

Device and method for positioning a photolithography mask by a contactless optical method

Номер патента: US09897927B2. Автор: Gilles Fresquet,Guenael Ribette. Владелец: Unity Semiconductor SAS. Дата публикации: 2018-02-20.

Illumination source and method for microlithography

Номер патента: US5453814A. Автор: Arun A. Aiyer. Владелец: Nikon Precision Inc. Дата публикации: 1995-09-26.

Lithography system and method for mask inspection

Номер патента: US09618855B2. Автор: BO-TSUN Liu,Fei-Gwo Tsai,Chieh-Huan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-11.

System, software application, and method for dose uniformity improvement

Номер патента: US20240280913A1. Автор: Chi-Ming Tsai. Владелец: Applied Materials Inc. Дата публикации: 2024-08-22.

System, software application, and method for dose uniformity improvement

Номер патента: WO2024177774A1. Автор: Chi-Ming Tsai. Владелец: Applied Materials, Inc.. Дата публикации: 2024-08-29.

Method for in-line monitoring a lens controller of a photolithography system

Номер патента: US20080002171A1. Автор: Alexander Urban,Holger Schwekendiek,Gernot Biese. Владелец: Texas Instruments Inc. Дата публикации: 2008-01-03.

Projection objective for microlithography

Номер патента: US20100079741A1. Автор: Daniel Kraehmer,Michael Totzeck,Vladimer Kamenov. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2010-04-01.

Method for forming a critical dimension test structure and its use

Номер патента: WO2000019270A1. Автор: Kazuo Ushida,Kyoichi Suwa,Ilya Grodnensky,Eric R. Johnson. Владелец: NIKON PRECISION INC.. Дата публикации: 2000-04-06.

Mask for microlithography and scanning projection exposure method utilizing the mask

Номер патента: US09423686B2. Автор: Markus Deguenther,Michael Patra. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2016-08-23.

Projection exposure method and projection exposure apparatus for microlithography

Номер патента: US20190204756A1. Автор: Michael Patra. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2019-07-04.

Projection exposure method and projection exposure apparatus for microlithography

Номер патента: US20200096877A1. Автор: Michael Patra. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2020-03-26.

Projection exposure tool for microlithography and method for microlithographic exposure

Номер патента: WO2012041458A3. Автор: Helmut Haidner,Markus GÖPPERT,Carmen Hettich. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2012-11-08.

Apparatus and method for exposing substrates

Номер патента: US20010007735A1. Автор: Gregory Baxter,James Beauchene,Eugene Melbon,Victor Jacobo. Владелец: ORC Technologies Inc. Дата публикации: 2001-07-12.

Apparatus and method for exposing substrates

Номер патента: WO1999060445A1. Автор: Gregory R. Baxter,James H. Beauchene,Eugene J. Melbon,Victor M. Jacobo. Владелец: Orc Technologies, Inc.. Дата публикации: 1999-11-25.

Apparatus and method for mask metrology

Номер патента: WO2008071269A8. Автор: Stefan Xalter,Yim-Bun-Patrick Kwan. Владелец: Zeiss Carl Sms Gmbh. Дата публикации: 2008-09-12.

Method for processing pattern data and method for manufacturing electronic device

Номер патента: WO2008139910A2. Автор: Naomasa Shiraishi. Владелец: NIKON CORPORATION. Дата публикации: 2008-11-20.

Method for correcting mask pattern and mask pattern thereof

Номер патента: US20200257192A1. Автор: Sheng-Yuan Hsueh,Yu-Cheng Tung,Chia-Chen Sun,Fan Wei LIN. Владелец: United Microelectronics Corp. Дата публикации: 2020-08-13.

Mask, lithographing apparatus and method for manufacturing mask

Номер патента: US20240231218A1. Автор: Chunyan Song,Xijun Li. Владелец: Westlake University. Дата публикации: 2024-07-11.

Apparatus and method for manufacturing display device, and mask assembly

Номер патента: US20240324435A1. Автор: Sungsoon Im,Jeongkuk Kim,Wonje Cho. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-09-26.

Method for forming a mask pattern using a laser

Номер патента: US09604314B2. Автор: Choong-ho Lee,Yoon-Chan Oh. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-03-28.

Systems and methods for improving pattern transfer

Номер патента: US09529268B2. Автор: Shih-Ming Chang,Hoi-Tou Ng,Chien-Fu Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-27.

Mask for stitching exposure

Номер патента: EP4414782A1. Автор: Chang Hee Han,Kwang Hee Kim,Jungchul SONG,Jae-Sub OH,Min Jun PARK,Hui Jae CHO. Владелец: Korea Advanced Institute of Science and Technology KAIST. Дата публикации: 2024-08-14.

Apparatus and method for patterning a semiconductor wafer

Номер патента: US20020127501A1. Автор: Gerhard Kunkel,Oliver Genz,Jürgen Preuninger. Владелец: Infineon Technologies North America Corp. Дата публикации: 2002-09-12.

Method for manufacturing semiconductor device

Номер патента: US20240055254A1. Автор: Zhen Song,Libin Zhang,Yayi Wei,Le Ma,Jianfang He,Yajuan SU. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2024-02-15.

Method for replacing objective parts

Номер патента: US09551944B2. Автор: Bernhard Geuppert,Guido Limbach,Peter Deufel,Harald Woelfle. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2017-01-24.

Method involving a mask or a reticle

Номер патента: EP1730595A2. Автор: Torbjörn Sandström. Владелец: Micronic Laser Systems AB. Дата публикации: 2006-12-13.

Method involving a mask or a reticle

Номер патента: WO2005050316A3. Автор: Torbjoern Sandstroem. Владелец: Torbjoern Sandstroem. Дата публикации: 2005-07-28.

Optical masks and methods for measuring aberration of a beam

Номер патента: US7670725B2. Автор: Sang-gyun Woo,Chan Hwang,Han-ku Cho,Suk-joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-03-02.

Lithographic method for wiring a side surface of a substrate

Номер патента: WO2004029722A2. Автор: Antonius J. M. Nellissen. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2004-04-08.

Lithographic method for wiring a side surface of a substrate

Номер патента: EP1546812B1. Автор: Antonius J. M. Nellissen. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2009-03-25.

Optical masks and methods for measuring aberration of a beam

Номер патента: US20100112466A1. Автор: Sang-gyun Woo,Chan Hwang,Han-ku Cho,Suk-joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-05-06.

Optical masks and methods for measuring aberration of a beam

Номер патента: US7799490B2. Автор: Sang-gyun Woo,Chan Hwang,Han-ku Cho,Suk-joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2010-09-21.

Optical masks and methods for measuring aberration of a beam

Номер патента: US20060154155A1. Автор: Sang-gyun Woo,Chan Hwang,Han-ku Cho,Suk-joo Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2006-07-13.

Methods for reducing spherical aberration effects in photolithography

Номер патента: US20070002312A1. Автор: Pary Baluswamy. Владелец: Individual. Дата публикации: 2007-01-04.

Lithographic method for wiring a side surface of a substrate

Номер патента: EP1546812A2. Автор: Antonius J. M. Nellissen. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2005-06-29.

Lithography system and method for device manufacture

Номер патента: WO2002093254A3. Автор: David A Markle,Dan Meisburger. Владелец: Ultratech Stepper Inc. Дата публикации: 2009-06-11.

Exposure method for liquid crystal display device

Номер патента: US20030124443A1. Автор: Hun Jeoung. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2003-07-03.

Lithography system and method for device manufacture

Номер патента: WO2002093254A1. Автор: David A Markle,Dan Meisburger. Владелец: Ultratech Stepper Inc. Дата публикации: 2002-11-21.

Apparatus and method for determining physical properties of a mask blank

Номер патента: US7289231B2. Автор: Tarek Lutz,Markus Menath. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-10-30.

Apparatus and method for determining physical properties of a mask blank

Номер патента: US20040194039A1. Автор: Tarek Lutz,Markus Menath. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-09-30.

Method for detecting the position of a mask holder on a measuring table

Номер патента: US20190056674A1. Автор: Hans-Michael Solowan. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2019-02-21.

Method for estimating repair accuracy of a mask shop

Номер патента: US20030065475A1. Автор: Yuan-Hsun Wu. Владелец: Nanya Technology Corp. Дата публикации: 2003-04-03.

Method for estimating repair accuracy of a mask shop

Номер патента: US6654703B2. Автор: Yuan-Hsun Wu. Владелец: Nanya Technology Corp. Дата публикации: 2003-11-25.

System and method for identifying dummy features on a mask layer

Номер патента: WO2003021654A2. Автор: Christophe Pierrat,Fang-Cheng Chang,Jacqueline Carol Freeman. Владелец: Numerical Technologies, Inc.. Дата публикации: 2003-03-13.

System and method for identifying dummy features on a mask layer

Номер патента: WO2003021654A3. Автор: Christophe Pierrat,Fang-Cheng Chang,Jacqueline Carol Freeman. Владелец: Jacqueline Carol Freeman. Дата публикации: 2003-05-22.

Apparatus and method for examining a surface of a mask

Номер патента: US9910065B2. Автор: Pawel Szych,Klaus Edinger,Gabriel Baralia,Michael Budach,Thorsten Hofmann. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2018-03-06.

Apparatus and method for examining a surface of a mask

Номер патента: US20160341763A1. Автор: Pawel Szych,Klaus Edinger,Gabriel Baralia,Michael Budach,Thorsten Hofmann. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2016-11-24.

Method and system for applying a pattern on a mask layer

Номер патента: CA3131546A1. Автор: Dirk Ludo Julien DE RAUW. Владелец: Xeikon Prepress NV. Дата публикации: 2020-09-24.

Method and system for applying a pattern on a mask layer

Номер патента: WO2020188041A1. Автор: Dirk Ludo Julien DE RAUW. Владелец: XEIKON PREPRESS N.V.. Дата публикации: 2020-09-24.

Method and system for applying a pattern on a mask layer

Номер патента: EP3941753A1. Автор: Dirk Ludo Julien DE RAUW. Владелец: Xeikon Prepress NV. Дата публикации: 2022-01-26.

System and method for identifying dummy features on a mask layer

Номер патента: TW548714B. Автор: Christophe Pierrat,Fang-Cheng Chang. Владелец: Numerical Technology Inc. Дата публикации: 2003-08-21.

Arrangement and method for transferring a pattern from a mask to a wafer

Номер патента: TW200401170A. Автор: Karl E Mautz,John George Maltabes,Alain Bernard Charles. Владелец: Motorola Inc. Дата публикации: 2004-01-16.

Method for lithography process

Номер патента: US12066756B2. Автор: Chi-Hung Liao,Yueh-Lin Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Masks for microlithography and methods of making and using such masks

Номер патента: US20130130163A1. Автор: Zhong Shi,William A. Stanton,Byron N. Burgess. Владелец: Micron Technology Inc. Дата публикации: 2013-05-23.

Masks for microlithography and methods of making and using such masks

Номер патента: WO2009023479A1. Автор: Zhong Shi,William A. Stanton,Byron N. Burgess. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2009-02-19.

Masks for microlithography and methods of making and using such masks

Номер патента: US20110256644A1. Автор: Zhong Shi,William A. Stanton,Byron N. Burgess. Владелец: Micron Technology Inc. Дата публикации: 2011-10-20.

Phase shift mask with enhanced resolution and method for fabricating the same

Номер патента: US8257886B2. Автор: Sang Jin Jo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-09-04.

Phase Shift Mask with Enhanced Resolution and Method for Fabricating the Same

Номер патента: US20100233588A1. Автор: Sang Jin Jo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-09-16.

Method for forming structure of pellicle-mask structure with vent structure

Номер патента: US20240280894A1. Автор: Yun-Yue Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-22.

Method for producing a mask and the mask

Номер патента: US09946151B2. Автор: Seiji Fujino,Xiaohu Wang,Xiaolei ZHANG. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-04-17.

Mask blank, method for manufacturing transfer mask, and method for manufacturing semiconductor device

Номер патента: US09864268B2. Автор: Hiroaki Shishido,Osamu Nozawa. Владелец: Hoya Corp. Дата публикации: 2018-01-09.

Method for structuring the surface of a pressed sheet or an endless strip

Номер патента: US09529264B2. Автор: Jordi Fred Reichert. Владелец: Hueck Engraving GmbH and Co KG. Дата публикации: 2016-12-27.

Exposure mask, exposure apparatus, and method for manufacturing display substrate

Номер патента: US09429836B2. Автор: Wei Guo,Xuan HE. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-08-30.

Extreme ultraviolet (euv) mask absorber and method for forming the same

Номер патента: US20190227427A1. Автор: Jed H. Rankin,Lei Zhuang,Amr Y. Abdo. Владелец: Globalfoundries Inc. Дата публикации: 2019-07-25.

Photomask blank, photomask, and method for manufacturing photomask

Номер патента: EP4451057A1. Автор: Kazuaki Matsui,Yosuke Kojima. Владелец: Toppan Photomasks Inc. Дата публикации: 2024-10-23.

Method for manufacturing large-size light-guide sheet by using mask

Номер патента: US09869811B2. Автор: XING Yang,Zhijian Lu,Yarong ZHANG,Yunsheng YANG. Владелец: Brivu Technologies Co Ltd. Дата публикации: 2018-01-16.

Pellicle structure and method for forming the same

Номер патента: US09360749B2. Автор: Anthony Yen,Yun-Yue Lin,Hsin-Chang Lee,Chia-Jen Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-07.

Method for repairing a mask

Номер патента: US20160202605A1. Автор: Yuan-Chih Chu,Yen-Kai Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-07-14.

Method for preparing mask, mask and evaporation system

Номер патента: US20180196341A1. Автор: ZHEN Wang,Zhiming Lin. Владелец: Ordos Yuansheng Optoelectronics Co Ltd. Дата публикации: 2018-07-12.

Method for preparing mask, mask and evaporation system

Номер патента: US10739672B2. Автор: ZHEN Wang,Zhiming Lin. Владелец: Ordos Yuansheng Optoelectronics Co Ltd. Дата публикации: 2020-08-11.

Method for repairing a mask

Номер патента: US09952503B2. Автор: Yuan-Chih Chu,Yen-Kai Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-24.

Method for producing nanoimprint mold

Номер патента: US09586343B2. Автор: Mikio Ishikawa,Takeshi Sakamoto,Yoichi Hitomi,Yusuke Kawano. Владелец: DAI NIPPON PRINTING CO LTD. Дата публикации: 2017-03-07.

Method for producing a photomask and corresponding photomask

Номер патента: US6835506B2. Автор: Uwe Griesinger. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2004-12-28.

Method for etching high aspect ratio semiconductor features using organic phot0-resist layers

Номер патента: WO2004102276A3. Автор: Jonghe Veronique De. Владелец: Jonghe Veronique De. Дата публикации: 2005-01-20.

Apparatus and method for structure exposure of a photoreactive layer

Номер патента: US20050244725A1. Автор: Ralph STÖMMER. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-11-03.

Mask blank and method for manufacturing transfer mask

Номер патента: US20090233190A1. Автор: Masahiro Hashimoto. Владелец: Hoya Corp. Дата публикации: 2009-09-17.

Masking arrangement and method for producing integrated circuit arrangements

Номер патента: US20060073397A1. Автор: Johannes Freund,Michael Stetter. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-04-06.

Method for repairing a mask

Номер патента: US09870612B2. Автор: Sheng-Chi Chin,Shinn-Sheng Yu,Anthony Yen,Wen-Chuan Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Method for integrated circuit fabrication

Номер патента: US09548209B2. Автор: Ching-Fang Yu,Ting-Hao Hsu,Chia-Ching HUANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-17.

Photo mask and method for controlling the same

Номер патента: US20050233225A1. Автор: Toshiaki Ibaragi. Владелец: Fujitsu Ltd. Дата публикации: 2005-10-20.

Method for forming pattern and method for fabricating LCD device using the same

Номер патента: US20070148603A1. Автор: Jae Oh,Hye Lee. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-06-28.

Method for fabricating mask and device isolation film

Номер патента: US20080032210A1. Автор: Jae-Young Choi. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-02-07.

Mask blank, phase-shift mask and method for manufacturing semiconductor device

Номер патента: US09933698B2. Автор: Atsushi Matsumoto,Takashi Uchida,Hiroaki Shishido. Владелец: Hoya Corp. Дата публикации: 2018-04-03.

Method for repairing a mask

Номер патента: US09910350B2. Автор: Yuan-Chih Chu,Sheng-Chi Chin,Yueh-Hsun Li,Shang-Lun Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-06.

Exposure apparatus, method of controlling the same, and alignment method for exposure

Номер патента: US09437818B2. Автор: Seok-Joo Lee,Jin-Hong Jeun,Jung-Hun Yeon. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-09-06.

Method for producing a GaN LED device

Номер патента: EP2597687A3. Автор: Deniz Sabuncuoglu Tezcan,Nga Phuong Pham,John Slabbekoorn. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2013-08-28.

Method for phase shift mask design, fabrication, and use

Номер патента: US20020015900A1. Автор: John Petersen. Владелец: Petersen Advanced Lithography Inc. Дата публикации: 2002-02-07.

Methods and systems for imaging a mask layer

Номер патента: NL2034371B1. Автор: Ludo Julien De Rauw Dirk. Владелец: XSYS Prepress NV. Дата публикации: 2024-09-26.

Method for manufacturing holographic blazed grating

Номер патента: US09864113B2. Автор: Quan Liu,Jianhong Wu,Minghui Chen. Владелец: SUZHOU UNIVERSITY. Дата публикации: 2018-01-09.

Mask blank, phase shift mask, and method for producing semiconductor device

Номер патента: US20240337919A1. Автор: Hitoshi Maeda,Osamu Nozawa,Ryo Ohkubo,Kenta Tsukagoshi. Владелец: Hoya Corp. Дата публикации: 2024-10-10.

Pattern forming method and manufacturing method for semiconductor device

Номер патента: US09627218B2. Автор: Kazunori HORIGUCHI. Владелец: Toshiba Corp. Дата публикации: 2017-04-18.

Method for producing a stamp for hot embossing

Номер патента: US20120000379A1. Автор: Wei Li,Eugenia Kumacheva,Jesse Greener. Владелец: University of Toronto. Дата публикации: 2012-01-05.

Mask and method for manufacturing display substrate

Номер патента: US20200073227A1. Автор: Yu Xiao,Dong Wang,Guohua Zhang,Yongzhi SONG,Jingyang Li. Владелец: Beijing BOE Display Technology Co Ltd. Дата публикации: 2020-03-05.

Mask and method for fabricating the same

Номер патента: US20220113621A1. Автор: Chi-Ming Tsai,Chi-Ta Lu,Jia-Guei Jou,Huang-Ming Wu,Jiun-Hao Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-04-14.

System and method for critical dimension reduction and pitch reduction

Номер патента: US20100038032A1. Автор: Robert Charatan. Владелец: Individual. Дата публикации: 2010-02-18.

System and method for critical dimension reduction and pitch reduction

Номер патента: US20120279656A9. Автор: Robert Charatan. Владелец: Individual. Дата публикации: 2012-11-08.

Methods for performing model-based lithography guided layout design

Номер патента: US09779186B2. Автор: Yu Cao,Jun Ye,Hanying Feng. Владелец: ASML Netherlands BV. Дата публикации: 2017-10-03.

Mask blank, transfer mask, and method for manufacturing transfer mask

Номер патента: US09726972B2. Автор: Hiroaki Shishido,Osamu Nozawa,Ryo Ohkubo. Владелец: Hoya Corp. Дата публикации: 2017-08-08.

Mask pattern applied to semiconductor photolithography process and method for photolithography process

Номер патента: EP3933503A1. Автор: Congcong FAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-01-05.

Method for fabricating a mask configuration

Номер патента: US20030039900A1. Автор: Thomas Schaetz. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-02-27.

System and method for inspecting a mask for euv lithography

Номер патента: US20230020107A1. Автор: Renzo Capelli. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-01-19.

Method for manufacturing mask blank and coating apparatus

Номер патента: MY150671A. Автор: Keishi Asakawa,Ryoji Miyata. Владелец: Hoya Electronics Malaysia Sendirian Berhad. Дата публикации: 2014-02-28.

Mask plate assembly and method for manufacturing same

Номер патента: US20230357914A1. Автор: Yongmao WANG,Qingfeng Wu,Wenchang Zhang,Wenxu LV. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2023-11-09.

Mask set and method for fabricating semiconductor device by using the same

Номер патента: US09455202B2. Автор: Wei-Chi Lee,Yu-Lin Wang,Chung-Yuan Lee. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-27.

Method for manufacturing semiconductor device

Номер патента: US20230290858A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2023-09-14.

Method for manufacturing semiconductor device

Номер патента: US20240038584A1. Автор: Zhen Song,Libin Zhang,Yayi Wei. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2024-02-01.

Phase-shifting mask for projection photolithography and method for producing it

Номер патента: IE911163A1. Автор: Christoph Noelscher,Leonhard Mader. Владелец: SIEMENS AG. Дата публикации: 1991-10-09.

Method for manufacturing industrial products and combination of masks for manufacturing the same

Номер патента: US7655481B2. Автор: Yuuichi Tatsumi. Владелец: Toshiba Corp. Дата публикации: 2010-02-02.

Optical method for characterizing transparent particles

Номер патента: US09476694B2. Автор: Frank Dubois,Catherine Yourassowsky. Владелец: Universite Libre de Bruxelles ULB. Дата публикации: 2016-10-25.

Methods for finding and characterizing a deformed pattern in an image

Номер патента: WO2005010803A3. Автор: Jason Davis. Владелец: Jason Davis. Дата публикации: 2005-06-23.

Methods for finding and characterizing a deformed pattern in an image

Номер патента: WO2005010803A2. Автор: Jason Davis. Владелец: Cognex Corporation. Дата публикации: 2005-02-03.

Methods for finding and characterizing a deformed pattern in an image

Номер патента: US20130188870A1. Автор: Jason Davis. Владелец: Cognex Technology and Investment LLC. Дата публикации: 2013-07-25.

Apparatus and method for recording fresnel holograms

Номер патента: US20160320751A1. Автор: Joseph Rosen. Владелец: Celloptic Inc. Дата публикации: 2016-11-03.

Apparatus and method for recording fresnel holograms

Номер патента: US20130258430A1. Автор: Joseph Rosen. Владелец: Individual. Дата публикации: 2013-10-03.

Apparatus and method for recording fresnel holograms

Номер патента: US20110267665A1. Автор: Joseph Rosen. Владелец: Celloptic Inc. Дата публикации: 2011-11-03.

Apparatus and method for recording fresnel holograms

Номер патента: US20170293262A1. Автор: Joseph Rosen. Владелец: Celloptic Inc. Дата публикации: 2017-10-12.

Apparatus and method for recording Fresnel holograms

Номер патента: US09690255B2. Автор: Joseph Rosen. Владелец: Celloptic Inc. Дата публикации: 2017-06-27.

Apparatus and method for recording fresnel holograms

Номер патента: US09417609B2. Автор: Joseph Rosen. Владелец: Celloptic Inc. Дата публикации: 2016-08-16.

Imaging device manufacturing apparatus, method for manufacturing imaging device, and imaging device

Номер патента: US12028625B2. Автор: Hideki Oyaizu,Ilya Reshetouski. Владелец: Sony Group Corp. Дата публикации: 2024-07-02.

A device and a method for non-destructively characterizing a material

Номер патента: US20200088689A1. Автор: Mathieu Loic Ducousso,Frederic Jenson. Владелец: Safran SA. Дата публикации: 2020-03-19.

A system and a method for detecting and characterizing a defect in an object using guided wave inspection

Номер патента: GB202320123D0. Автор: . Владелец: Indian Inst Tech Madras. Дата публикации: 2024-02-14.

APPARATUS AND METHOD FOR EXAMINING A SURFACE OF A MASK

Номер патента: US20160341763A1. Автор: Edinger Klaus,Budach Michael,Hofmann Thorsten,Baralia Gabriel,Szych Pawel. Владелец: . Дата публикации: 2016-11-24.

System and method for multipurpose traffic detection and characterization

Номер патента: CA2865733C. Автор: Yvan Mimeault,Samuel GIDEL. Владелец: Leddartech Inc. Дата публикации: 2023-09-26.

System and method for vehicle detection

Номер патента: CA2998166C. Автор: Yvan Mimeault,Samuel GIDEL. Владелец: Leddartech Inc. Дата публикации: 2019-04-09.

METHOD FOR DETECTING AND CHARACTERIZING A MOBILE TARGET ON A RADAR IMAGE

Номер патента: FR2972266B1. Автор: Thierry Sfez,Luc Bosser,Vincent Hottier,Foll Didier Le. Владелец: Thales SA. Дата публикации: 2013-04-26.

METHOD FOR DETECTING AND CHARACTERIZING A MOBILE TARGET ON A RADAR IMAGE

Номер патента: FR2972266A1. Автор: Thierry Sfez,Luc Bosser,Vincent Hottier,Foll Didier Le. Владелец: Thales SA. Дата публикации: 2012-09-07.

Robust method for tracing lines of table

Номер патента: US09898683B2. Автор: Darrell Eugene Bellert. Владелец: Konica Minolta Laboratory USA Inc. Дата публикации: 2018-02-20.

Method for determining width of lines in hand drawn table

Номер патента: US09898653B2. Автор: Darrell Eugene Bellert. Владелец: Konica Minolta Laboratory USA Inc. Дата публикации: 2018-02-20.

Characterizing a digital imaging system

Номер патента: EP1754191B1. Автор: Ari Tervonen,Harry J. Ojanen. Владелец: Nokia Oyj. Дата публикации: 2012-01-25.

METHODS FOR FINDING AND CHARACTERIZING A DEFORMED PATTERN IN AN IMAGE

Номер патента: US20130188870A1. Автор: Davis Jason. Владелец: Cognex Technology and Investment Corporation. Дата публикации: 2013-07-25.

Systems and methods for obtaining data characterizing a three-dimensional object

Номер патента: EP3555856B1. Автор: Andrew Henry John Larkins. Владелец: FUEL 3D TECHNOLOGIES Ltd. Дата публикации: 2020-04-29.

Method for characterizing melting transition and crystallization in a semicrystalline polymer

Номер патента: US20230152223A1. Автор: Kailong Jin,Richard Nile. Владелец: Arizona State University ASU. Дата публикации: 2023-05-18.

Method and system for characterizing a non-metallic inclusion population

Номер патента: WO2024008287A1. Автор: Junbiao Lai,Hanzheng HUANG,Sebastien BLACHERE. Владелец: AKTIEBOLAGET SKF. Дата публикации: 2024-01-11.

Sensor device and method for characterizing metal chips

Номер патента: US20240310267A1. Автор: Michael AUFREITER,Daniel Kagerbauer. Владелец: Inmox Gmbh. Дата публикации: 2024-09-19.

Device and methods for characterization of semiconductor films

Номер патента: US20240264113A1. Автор: Michel DE KEERSMAECKER,Erin L. RATCLIFF,Neal R. Armstrong. Владелец: University of Arizona. Дата публикации: 2024-08-08.

Sensor system and method for characterizing a wet paint layer

Номер патента: US09689796B2. Автор: Jacobus Lodevicus Martinus Van Mechelen. Владелец: ABB Schweiz AG. Дата публикации: 2017-06-27.

A method for characterizing a dispersion using transformation techniques

Номер патента: EP1678510A1. Автор: Haibo Huang,Marcel Girard,Douglas B. Fisher. Владелец: Alberta Research Council. Дата публикации: 2006-07-12.

Methods for characterizing lentiviruses

Номер патента: WO2024137017A1. Автор: PENG Wang,Bingnan GU,Vijetha BHAT,Chien-Ting LI. Владелец: Lonza Houston, Inc.. Дата публикации: 2024-06-27.

Apparatus and method for obtaining liquid sample from gaseous sample

Номер патента: US09863855B2. Автор: Satoshi Arimoto. Владелец: Panasonic Intellectual Property Management Co Ltd. Дата публикации: 2018-01-09.

Methods for characterizing lentiviruses

Номер патента: US20240210385A1. Автор: PENG Wang,Bingnan GU,Vijetha BHAT,Chien-Ting LI. Владелец: Lonza Houston Inc. Дата публикации: 2024-06-27.

Method for characterizing a part made of a woven composite material

Номер патента: US09916651B2. Автор: Estelle PARRA,Vincent Morard,David Tourais. Владелец: Safran SA. Дата публикации: 2018-03-13.

Method for characterizing defects in silicon crystal

Номер патента: US20220291145A1. Автор: XING Wei,Yun Liu,Zhongying Xue. Владелец: Zing Semiconductor Corp. Дата публикации: 2022-09-15.

System and method for characterizing a physical property of a sample

Номер патента: US20230066092A1. Автор: Changhong CAO. Владелец: Royal Institution for the Advancement of Learning. Дата публикации: 2023-03-02.

System and method for characterizing a physical property of a sample

Номер патента: CA3168041A1. Автор: Changhong CAO. Владелец: Royal Institution for the Advancement of Learning. Дата публикации: 2023-02-27.

Method for characterizing defects in silicon crystal

Номер патента: US12092588B2. Автор: XING Wei,Yun Liu,Zhongying Xue. Владелец: Zing Semiconductor Corp. Дата публикации: 2024-09-17.

Method for characterizing a material

Номер патента: US09880115B2. Автор: Joachim Tabary,Caroline Paulus. Владелец: Commissariat a lEnergie Atomique et aux Energies Alternatives CEA. Дата публикации: 2018-01-30.

Compositions and methods for characterizing a myopathy

Номер патента: US09506924B2. Автор: Antony Rosen,Lisa Christopher-Stine,Andrew Mammen,Livia A. Casciola-Rosen. Владелец: JOHNS HOPKINS UNIVERSITY. Дата публикации: 2016-11-29.

Method and Apparatus for Characterizing a Multilayered Structure

Номер патента: US20100271623A1. Автор: Geoffrey A. Cranch,Gordon M.H. Flockhart. Владелец: US Department of Navy. Дата публикации: 2010-10-28.

System and method for terahertz imaging using a single terahertz detector

Номер патента: WO2004072593A3. Автор: Kenneth H Shafer,Phuc G Tran. Владелец: Phuc G Tran. Дата публикации: 2005-09-22.

Methods and Systems for Characterizing Spillover Spreading in Flow Cytometer Data

Номер патента: US20240280466A1. Автор: Richard Lee HALPERT. Владелец: Becton Dickinson and Co. Дата публикации: 2024-08-22.

Measuring device and method for characterizing a non-homogeneous, flowable medium

Номер патента: US12117325B2. Автор: Hao Zhu,Alfred Rieder,Yaoying Lin. Владелец: Endress and Hauser Flowtec AG. Дата публикации: 2024-10-15.

Gating methods for use in weld inspection systems

Номер патента: US09759691B2. Автор: Roger Spencer,Jeong K. NA,Paul C. Boulware. Владелец: Cumberland & Western Resources LLC. Дата публикации: 2017-09-12.

Method for forming printing inspection data

Номер патента: WO2004017703A2. Автор: Takashi Katsuki,Yuji Otake,Takahiro Fukagawa. Владелец: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.. Дата публикации: 2004-02-26.

Method for characterizing a protein

Номер патента: NL2030821B1. Автор: Caneva Sabina. Владелец: Univ Delft Tech. Дата публикации: 2023-08-03.

System and method for characterization of inclusions in liquid samples

Номер патента: US20210109006A1. Автор: Rashid Mavliev. Владелец: Individual. Дата публикации: 2021-04-15.

Method for detecting flaws in the walls of hollow glass items

Номер патента: US09898813B2. Автор: Hartwin Stuwe. Владелец: HEYE INTERNATIONAL GMBH. Дата публикации: 2018-02-20.

Method for treating castration-resistant prostate cancer

Номер патента: US09856536B2. Автор: Nima Sharifi,Kai-Hsiung Chang. Владелец: CLEVELAND CLINIC FOUNDATION. Дата публикации: 2018-01-02.

Systems and methods for characterization of polycystic kidney disease

Номер патента: WO2023230508A3. Автор: Jonathan Himmelfarb,Benjamin FREEDMAN. Владелец: UNIVERSITY OF WASHINGTON. Дата публикации: 2024-02-01.

Methods for pathogen detection and enrichment from materials and compositions

Номер патента: WO2014153194A4. Автор: David Berry,Matthew R. Henn,David N. Cook,John G. Aunins. Владелец: Seres Health, Inc.. Дата публикации: 2015-01-15.

Method for improved diagnosis of dysplasias

Номер патента: US09528159B2. Автор: Anja Reichert,Ruediger Ridder,Richard Batrla,Marcus Trunk. Владелец: Ventana Medical Systems Inc. Дата публикации: 2016-12-27.

Nmass spectrometry-based strategy for characterizing high molecular weight species of a biologic

Номер патента: EP4402475A1. Автор: Yuetian Yan,Shunhai WANG. Владелец: Regeneron Pharmaceuticals Inc. Дата публикации: 2024-07-24.

Apparatus and method for extended depth of field

Номер патента: WO2024196690A2. Автор: Geraint Evans,Stanley Hong,Joseph Pinto,Simon Prince,Anindita Dutta,Yina Wang,Jeffrey Gau. Владелец: Illumina, Inc.. Дата публикации: 2024-09-26.

Experimental device and method for characterization of particle packing gradation

Номер патента: US20240053242A1. Автор: MEI Meng,Chao Zhai,Yeqing SHEN. Владелец: Anhui Normal University. Дата публикации: 2024-02-15.

Method for transferring between spectrometers

Номер патента: US09915606B2. Автор: Sanchez Miguel,Didier Lambert,Bernard Ribero,Claude SAINT MARTIN. Владелец: Topnir Systems SAS. Дата публикации: 2018-03-13.

Methods for sample characterization

Номер патента: EP4434629A2. Автор: Erik T. GENTALEN. Владелец: Intabio LLC. Дата публикации: 2024-09-25.

Methods for characterizing glycosylation sites

Номер патента: US20080299678A1. Автор: Mohan Srinivasan,Zhigang Wu. Владелец: Medarex LLC. Дата публикации: 2008-12-04.

Method for characterizing bacterial mutants

Номер патента: EP3172322A1. Автор: David Hugh Williams,John Richard Wain,Stuart Robert WOODS. Владелец: Discuva Ltd. Дата публикации: 2017-05-31.

Method for characterizing the glycosylation of sialoglycoproteins via an isoform number i

Номер патента: CA2625115A1. Автор: Peter Hermentin. Владелец: Individual. Дата публикации: 2007-04-26.

Method for characterizing the glycosylation of sialoglycoproteins via an isoform number I

Номер патента: AU2006303522A1. Автор: Peter Hermentin. Владелец: Individual. Дата публикации: 2007-04-26.

Method for testing dynamic characteristics

Номер патента: EP3712592A1. Автор: Tatsuya Miyajima,Mototsugu Sakai. Владелец: National Institute of Advanced Industrial Science and Technology AIST. Дата публикации: 2020-09-23.

Method for characterizing bacterial mutants

Номер патента: WO2016012765A1. Автор: David Hugh Williams,John Richard Wain,Stuart Robert WOODS. Владелец: DISCUVA LIMITED. Дата публикации: 2016-01-28.

Method for characterizing material by analysis of speckles

Номер патента: US09830520B2. Автор: Aldo Maalouf,Florence Guillemot,Remy Chiu. Владелец: Safran Identity and Security SAS. Дата публикации: 2017-11-28.

Method and device for characterizing ferroelectric materials

Номер патента: AU2003282164A1. Автор: Eric Laboure,Lionel Cima. Владелец: Ecole Normale Superieure de Cachan. Дата публикации: 2004-04-19.

System and method for automatically loading and testing afm-probes

Номер патента: WO2024136651A1. Автор: Hamed Sadeghian Marnani,Wouter Arie DE ZEEUW,Irene BATTISTI. Владелец: Nearfield Instruments B.V.. Дата публикации: 2024-06-27.

Method for characterizing a geological formation traversed by a borehole

Номер патента: US09581723B2. Автор: Tuanfeng Zhang,Neil Francis Hurley. Владелец: Schlumberger Technology Corp. Дата публикации: 2017-02-28.

Apparatus, system, and methods for weighing and positioning wafers

Номер патента: US09791309B2. Автор: Reiner G. FENSKE. Владелец: MICROTRONIC Inc. Дата публикации: 2017-10-17.

Instrument and method for characterising an optical system

Номер патента: US20110134417A1. Автор: Xavier Levecq,Guillaume Dovillaire. Владелец: IMAGINE OPTIC SA. Дата публикации: 2011-06-09.

Method and a system for characterizing structures through a substrate

Номер патента: US12123698B1. Автор: Alain Courteville,Wolfgang Alexander IFF,Michael Schöbitz. Владелец: Unity Semiconductor SAS. Дата публикации: 2024-10-22.

Method for Producing a Probe Suitable for Scanning Probe Microscopy

Номер патента: US20200278379A1. Автор: Thomas Hantschel,Thijs Boehme. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2020-09-03.

Electrical Methods for Structural Defect Detection in Battery Cells

Номер патента: US20240230779A1. Автор: Martin Weiss,Kosta Ilic,Brian Clifford MacCleery. Владелец: National Instruments Corp. Дата публикации: 2024-07-11.

Electrical methods for structural defect detection in battery cells

Номер патента: WO2024151644A1. Автор: Martin Weiss,Brian Clifford MacCleery. Владелец: National Instruments Corporation. Дата публикации: 2024-07-18.

Method and apparatus for characterizing a load on a data line

Номер патента: US20060271992A1. Автор: Barry Male,Ian Bower,Dale Wellborn. Владелец: Texas Instruments Inc. Дата публикации: 2006-11-30.

Method for processing an image

Номер патента: US12044578B2. Автор: Laurent Chiesi. Владелец: Schneider Electric Industries SAS. Дата публикации: 2024-07-23.

Device and method for characterizing a sample using localized measurements

Номер патента: US09736389B2. Автор: Olivier Acher,Alexander Podzorov. Владелец: HORIBA JOBIN YVON SAS. Дата публикации: 2017-08-15.

Systems and methods for tactile intelligence

Номер патента: US20240183651A1. Автор: Janos Rohaly,Youssef Benmokhtar Benabdellah,Micah Kimo Johnson. Владелец: Gelsight Inc. Дата публикации: 2024-06-06.

Characterizing a motion of an object

Номер патента: US20220291321A1. Автор: Peter Speier,Mario Bacher. Владелец: Siemens Healthcare GmbH. Дата публикации: 2022-09-15.

Mask apparatus and method for controlling the same

Номер патента: EP4122546A3. Автор: Jinmoo Park,Minsoo Kim,Seonghun LEE,Sooyong Park,Junchan KWON. Владелец: LG ELECTRONICS INC. Дата публикации: 2023-03-22.

Characterizing a motion of an object

Номер патента: US12055614B2. Автор: Peter Speier,Mario Bacher. Владелец: Siemens Healthineers AG. Дата публикации: 2024-08-06.

A method for converting digital color images

Номер патента: EP2460343A1. Автор: Christopher James Edge. Владелец: Eastman Kodak Co. Дата публикации: 2012-06-06.

Device, system and method for characterizing a colour device

Номер патента: EP2005730A1. Автор: Julien Thollot,Jurgen Stauder,Philippe Colantoni,Alain Tremeau. Владелец: Thomson Licensing SAS. Дата публикации: 2008-12-24.

Method for acquiring and displaying medical image data

Номер патента: US20100134106A1. Автор: Stefan Huwer. Владелец: Individual. Дата публикации: 2010-06-03.

Method for the characterization of objects

Номер патента: US20180246190A1. Автор: Stefan Schwartz,Uladzimir Zhokhavets,Grégoire Martin Hummel. Владелец: Phenospex BV. Дата публикации: 2018-08-30.

Method for generating a masking curve for a fill state measuring device

Номер патента: US11747187B2. Автор: Florian Palatini,Daniel Müller,Carmen Roser,Tanja Haag. Владелец: Endress and Hauser SE and Co KG. Дата публикации: 2023-09-05.

Systems and methods for multi-echo, background suppressed magnetic resonance angiography

Номер патента: US20180081017A1. Автор: Robert R. Edelman. Владелец: NORTHSHORE UNIVERSITY HEALTHSYSTEM. Дата публикации: 2018-03-22.

Device and method for determining fluid streaming potential

Номер патента: US09696189B2. Автор: Patrick J. Kinlen,Ofer Alves. Владелец: Boeing Co. Дата публикации: 2017-07-04.

System and method for characterizing ions using a superconducting transmission line detector

Номер патента: US09490112B2. Автор: Joseph Robert Suttle,Robert Francis McDermott, III. Владелец: Individual. Дата публикации: 2016-11-08.

Systems and/or methods for anomaly detection and characterization in integrated circuits

Номер патента: CA3137069A1. Автор: Jason Dickens. Владелец: GRAMMATECH Inc. Дата публикации: 2021-01-07.

Systems and/or methods for anomaly detection and characterization in integrated circuits

Номер патента: WO2021002914A2. Автор: Jason Dickens. Владелец: Grammatech, Inc.. Дата публикации: 2021-01-07.

Systems and/or methods for anomaly detection and characterization in integrated circuits

Номер патента: CA3137069C. Автор: Jason Dickens. Владелец: GRAMMATECH Inc. Дата публикации: 2023-09-26.

Method for determining switching of nanomagnets

Номер патента: EP4297035A1. Автор: Peter Rickhaus. Владелец: Qnami AG. Дата публикации: 2023-12-27.

Method for determining switching of nanomagnets

Номер патента: WO2023248080A1. Автор: Peter Rickhaus. Владелец: Qnami AG. Дата публикации: 2023-12-28.

Method for providing position information in a secure manner, and a terminal device and a computer program product thereto

Номер патента: US20200386847A1. Автор: Timo SAIJA. Владелец: Telia Co AB. Дата публикации: 2020-12-10.

System and method for generating navigation data

Номер патента: US20230184558A1. Автор: Amol WAYCHAL,Shailendra SHETTY. Владелец: Here Global BV. Дата публикации: 2023-06-15.

Method for characterizing at least one signal source

Номер патента: US20150074497A1. Автор: Dominique Heurguier. Владелец: Thales SA. Дата публикации: 2015-03-12.

System and method for characterizing tire uniformity machines

Номер патента: US09677972B2. Автор: Ronald E. Symens,Byron R. Stanoszek. Владелец: Commercial Time Sharing Inc. Дата публикации: 2017-06-13.

System and method for characterizing focused charged beams

Номер патента: US20150041667A1. Автор: Sudeep Bhattacharjee,Samit Paul. Владелец: INDIAN INSTITUTE OF TECHNOLOGY KANPUR. Дата публикации: 2015-02-12.

Reversible polar decomposition method for backscattering mueller matrix

Номер патента: US20240264036A1. Автор: Min Xu,Weihao LIN,Zhineng XIE. Владелец: Wenzhou Medical University. Дата публикации: 2024-08-08.

Device and Method for Characterizing an Ultrashort Laser Pulse

Номер патента: US20160116338A1. Автор: Matthew Streeter,Jens OSTERHOFF. Владелец: Deutsches Elektronen Synchrotron DESY. Дата публикации: 2016-04-28.

Device and method for characterizing an ultrashort laser pulse

Номер патента: US09816861B2. Автор: Matthew Streeter,Jens OSTERHOFF. Владелец: Deutsches Elektronen Synchrotron DESY. Дата публикации: 2017-11-14.

System and method for characterizing focused charged beams

Номер патента: US09733366B2. Автор: Sudeep Bhattacharjee,Samit Paul. Владелец: INDIAN INSTITUTE OF TECHNOLOGY KANPUR. Дата публикации: 2017-08-15.

Method and system for characterizing battery cells for use in battery packs

Номер патента: US09519028B2. Автор: Melvin Barry Greenberg. Владелец: Boeing Co. Дата публикации: 2016-12-13.

Inversion of sound speed and poisson's ratio from ultrasound beam data for characterization of tissues

Номер патента: EP4381322A1. Автор: Chengbin Peng. Владелец: Cloudstream Medical Imaging Inc. Дата публикации: 2024-06-12.

Systems and methods for optimizing waveform capture compression and characterization

Номер патента: AU2022201909B2. Автор: Jon A. Bickel,Colton Thomas Peltier. Владелец: Schneider Electric USA Inc. Дата публикации: 2024-05-16.

Inversion of sound speed and poisson's ratio from ultrasound beam data for characterization of tissues

Номер патента: US20240264304A1. Автор: Chengbin Peng. Владелец: Cloudstream Medical Imaging Inc. Дата публикации: 2024-08-08.

Systems and methods for optimizing waveform capture compression and characterization

Номер патента: AU2024205759A1. Автор: Jon A. Bickel,Colton Thomas Peltier. Владелец: Schneider Electric USA Inc. Дата публикации: 2024-08-29.

Arrangement and method for characterizing magnetic systems

Номер патента: US09739843B2. Автор: Koen Jozef Theo VERVAEKE. Владелец: MagCam NV. Дата публикации: 2017-08-22.

Method for characterizing the sensitivity of electronic components to destructive mechanisms

Номер патента: US09506970B2. Автор: Florent Miller,Sebastien Morand. Владелец: AIRBUS GROUP SAS. Дата публикации: 2016-11-29.

Method and device for characterizing the effect of a skin treatment agent on skin

Номер патента: EP2321613A1. Автор: Andreas Caduff,Alexander Megej,Mark Talary. Владелец: Solianis Holding AG. Дата публикации: 2011-05-18.

Signal analyzer and method for signal analysis

Номер патента: EP2044445A2. Автор: Akira Nara. Владелец: TEKTRONIX INTERNATIONAL SALES GMBH. Дата публикации: 2009-04-08.

An assembly and method for testing optical devices

Номер патента: MY182993A. Автор: SCARPELLA Massimo,NESTOROVIC Sasa. Владелец: RASCO GmbH. Дата публикации: 2021-02-05.

GPS with mask ROM , control IC of the GPS and method for implementing the same

Номер патента: US20080024362A1. Автор: Yu-Tai Chang,Steve Wiyi Yang. Владелец: MStar Semiconductor Inc Taiwan. Дата публикации: 2008-01-31.

Method and device for characterizing the surface shape of an optical element

Номер патента: US20230108466A1. Автор: Regina Christ. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2023-04-06.

Measurement method for characterization of a photodetector

Номер патента: US20240247977A1. Автор: Gerd Plechinger. Владелец: Ams Osram International GmbH. Дата публикации: 2024-07-25.

Method and device for characterizing the surface shape of an optical element

Номер патента: NL2033224A. Автор: Kruse Regina. Владелец: Zeiss Carl Smt Gmbh. Дата публикации: 2023-04-13.

Method and device for characterizing the surface shape of an optical element

Номер патента: NL2033224B1. Автор: Kruse Regina. Владелец: Zeiss Carl Smt Gmbh. Дата публикации: 2024-05-30.

Mask apparatus and method for controlling the same

Номер патента: US12089668B2. Автор: Jinmoo Park,Minsoo Kim,Seonghun LEE,Sooyong Park,Junchan KWON. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-09-17.

Method for the characterization and monitoring of integrated circuits

Номер патента: US09568540B2. Автор: Peilin Song,Franco Stellari,Raphael P. Robertazzi. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Systems and methods for treating an eye with a mask device

Номер патента: EP4400089A2. Автор: Marc D. Friedman,Desmond Adler. Владелец: Avedro Inc. Дата публикации: 2024-07-17.

Systems and methods for treating an eye with a mask device

Номер патента: EP4400089A3. Автор: Marc D. Friedman,Desmond Adler. Владелец: Avedro Inc. Дата публикации: 2024-09-25.

Method for growing monocrystalline silicon on a mask layer

Номер патента: US4549926A. Автор: Lubomir L. Jastrzebski,Scott C. Blackstone,Robert H. Pagliaro, Jr.,John F. Corboy, Jr.. Владелец: RCA Corp. Дата публикации: 1985-10-29.

A method of characterizing a social network communication using motifs

Номер патента: WO2012152853A1. Автор: Nuria OLIVER RAMÍREZ,Qiankun Zhao. Владелец: TELEFONICA, S.A.. Дата публикации: 2012-11-15.

A method of characterizing a social network communication using motifs

Номер патента: EP2707996A1. Автор: Nuria OLIVER RAMÍREZ,Qiankun Zhao. Владелец: Telefonica SA. Дата публикации: 2014-03-19.

Systems and methods for measuring behavior changes of processes

Номер патента: US11701054B2. Автор: Zhong-Lin Lu,Luis A. Lesmes,Yukai Zhao. Владелец: Adaptive Sensory Technology Inc. Дата публикации: 2023-07-18.

Systems and methods for sub-zero threshold characterization in a memory cell

Номер патента: US20160379718A1. Автор: Yu Cai,Erich F. Haratsch,Yunxiang Wu. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2016-12-29.

Systems and methods for sub-zero threshold characterization in a memory cell

Номер патента: US09711233B2. Автор: Yu Cai,Erich F. Haratsch,Yunxiang Wu. Владелец: SEAGATE TECHNOLOGY LLC. Дата публикации: 2017-07-18.

Method and system for characterizing integrated circuit design in target semiconductor manufacturing process

Номер патента: WO2014053191A1. Автор: Petr Dobrovolny,Phillip Christie. Владелец: IMEC. Дата публикации: 2014-04-10.

Apparatus and method for shuffling floating point or integer values

Номер патента: US09524168B2. Автор: Jesus Corbal,Robert Valentine,Elmoustapha Ould-Ahmed-Vall,Bret L Toll,Tal Uliel. Владелец: Intel Corp. Дата публикации: 2016-12-20.

Systems and methods for masking and unmasking of sensitive data

Номер патента: EP3787217A1. Автор: Ashim Roy,Mayur JAIN,Shirish DAMLE,Anushka SHARMA. Владелец: Tata Consultancy Services Ltd. Дата публикации: 2021-03-03.

System and methods for multiple instance segmentation and tracking

Номер патента: US12033307B2. Автор: Zhan Xu,Qiang Tang,Vishnu Sanjay Ramiya Srinivasan,Shao Hua Chen. Владелец: Huawei Technologies Co Ltd. Дата публикации: 2024-07-09.

Mask operation method for explicit independent mask register in gpu

Номер патента: US20220236988A1. Автор: Lei Wang,Chengxin Yin. Владелец: Huaxia General Processor Technologies Inc. Дата публикации: 2022-07-28.

Device and method for characterizing a tone signal

Номер патента: US20050247185A1. Автор: Christian Uhle. Владелец: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV. Дата публикации: 2005-11-10.

System and methods for authentication code entry

Номер патента: WO2019161176A1. Автор: Imran A. Hajimusa,Chris Anthony Madden. Владелец: VERIFONE, INC.. Дата публикации: 2019-08-22.

Systems and methods for producing user-customized facial masks and portions thereof

Номер патента: WO2021211444A1. Автор: Bo Haaber. Владелец: Themagic5 Inc.. Дата публикации: 2021-10-21.

Data processing device and method for transmitting data over a bus

Номер патента: US11995015B2. Автор: Ning Chen,Jens Rosenbusch. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-05-28.

Systems and methods for data masking

Номер патента: WO2022152255A1. Автор: Jian Luo. Владелец: WUHAN UNITED IMAGING HEALTHCARE CO., LTD.. Дата публикации: 2022-07-21.

Method for determining explainability mask by neural network, system and medium

Номер патента: US20210232865A1. Автор: Andres Mauricio Munoz Delgado. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2021-07-29.

Characterizing a cargo container

Номер патента: WO2004101370A3. Автор: Phillip E Young,Wayne D Cornelius,William L Hicks. Владелец: Science Applic Int Corp. Дата публикации: 2005-02-24.

Characterizing a cargo container

Номер патента: WO2004101370A2. Автор: Wayne D. Cornelius,William L. Hicks,Phillip E. Young. Владелец: Science Applications International Corp.. Дата публикации: 2004-11-25.

System and method for characterizing security entities in a computing environment

Номер патента: US09992209B1. Автор: Gary Golomb,Ram Keralapura. Владелец: Awake Security LLC. Дата публикации: 2018-06-05.

Method for manufacturing curved display

Номер патента: US09784998B2. Автор: Yong Beom Kim. Владелец: Tovis Co Ltd. Дата публикации: 2017-10-10.

Method for manufacturing transparent panel and method for manufacturing optical device

Номер патента: US20210088831A1. Автор: Yoshikazu Nagasawa. Владелец: Dexerials Corp. Дата публикации: 2021-03-25.

Method for manufacturing semiconductor structure

Номер патента: US12089392B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-10.

Image forming apparatus and method for restoration printing on masked print

Номер патента: US09451124B2. Автор: Takayuki Mashimo. Владелец: Kyocera Document Solutions Inc. Дата публикации: 2016-09-20.

Systems and methods for eliminating electromigration and self-heat violations in a mask layout block

Номер патента: US11763062B2. Автор: Danny Rittman,Mo Jacob. Владелец: GBT Tokenize Corp. Дата публикации: 2023-09-19.

System and method for universal structure preserving data masking

Номер патента: US20150169540A1. Автор: Ashutosh Saxena,Shikha Gupta,Upagupta Mandal. Владелец: Infosys Ltd. Дата публикации: 2015-06-18.

Memory device and method for operating and controlling the same

Номер патента: US20110208883A1. Автор: Sang-Sic Yoon,Jinyeong MOON. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-08-25.

Method for generating mask, and projection apparatus for performing the same

Номер патента: US20210377500A1. Автор: Chi-Wei Lin,Chien-Chun Peng,Hsun-Cheng Tu. Владелец: Coretronic Corp. Дата публикации: 2021-12-02.

System and method for displaying font in a wireless telephone

Номер патента: US6940510B2. Автор: Yong-Hyun Kim,Young-Ha Yoon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-09-06.

Liquid crystal display panel, array substrate and manufacturing method for the same

Номер патента: US09971221B2. Автор: Sikun HAO. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-05-15.

Systems and methods for generating subtracted images

Номер патента: US20180082420A1. Автор: Todd Brown,James Zhengshe Liu,Timothy Skuster. Владелец: General Electric Co. Дата публикации: 2018-03-22.

Apparatus and method for handling maintenance operations for an address translation cache

Номер патента: US10719453B1. Автор: Raja Abhishek. Владелец: ARM LTD. Дата публикации: 2020-07-21.

Apparatuses and methods for partial array self refresh masking

Номер патента: US12106792B2. Автор: Yukimi Morimoto,Hidekazu Noguchi,Yoshio Mizukane. Владелец: Micron Technology Inc. Дата публикации: 2024-10-01.

System and method for interactive masking and modifying of 3D objects

Номер патента: US09460556B2. Автор: Ofer Alon. Владелец: PIXOLOGIC Inc. Дата публикации: 2016-10-04.

Method for producing half mirror and lighting body

Номер патента: US20210173131A1. Автор: Daisuke Nakashima,Shunsuke Iwao,Yuji Tsuchiya,Masayoshi TAKORI. Владелец: Honda Motor Co Ltd. Дата публикации: 2021-06-10.

Activation compression method for deep learning acceleration

Номер патента: GB2615942A. Автор: Liu Zhi-Gang,Mattina Matthew. Владелец: Advanced Risc Machines Ltd. Дата публикации: 2023-08-23.

Apparatus, program, and method for anomaly detection and classification

Номер патента: US12100199B2. Автор: Yusuke Hida. Владелец: Fujitsu Ltd. Дата публикации: 2024-09-24.

Method for processing information and electronic device

Номер патента: US09779522B2. Автор: Fulei TIAN. Владелец: Lenovo Beijing Ltd. Дата публикации: 2017-10-03.

System and method for selectively removing atoms and uses thereof

Номер патента: US09653104B2. Автор: Dmitri Litvinov,Long Chang. Владелец: University of Houston. Дата публикации: 2017-05-16.

Method for etching layer to be etched

Номер патента: US09647206B2. Автор: Mitsuru Hashimoto,Takashi Sone,Eiichi Nishimura,Keiichi Shimoda. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-09.

Method for protecting the integrity of a fixed-length data structure

Номер патента: WO2014123779A1. Автор: Alexander W. DENT,Billy B. Brumley,Can Erkin ACAR. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2014-08-14.

Apparatus and method for inspection

Номер патента: US20230274757A1. Автор: Kazuya SUMITA,Akihiro Matsumoto,Keitaro Uehara,Eri Kubo,Atsushi Oku,Kozue Onishi. Владелец: HITACHI LTD. Дата публикации: 2023-08-31.

Power-on mask detection method for motion detectors

Номер патента: AU3911000A. Автор: William T. Sprouse. Владелец: Honeywell International Inc. Дата публикации: 2000-10-09.

Manufacturing method for magnetic recording medium, magnetic recording/reproducing device

Номер патента: US20120113549A1. Автор: Akira Sakawaki,Manabu Ueda,Shinichi Ishibashi. Владелец: Showa Denko KK. Дата публикации: 2012-05-10.

Method for forming magnetic tunneling junction layer for magnetic random access memory

Номер патента: US6884731B2. Автор: Tae-Wan Kim,I-hun Song,Soon-won Hwang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-04-26.

Systems and methods for diverse image inpainting

Номер патента: US20240338802A1. Автор: Hanseok Ko,Dongsik YOON,Jeong-gi Kwak. Владелец: Datum Point Labs Inc. Дата публикации: 2024-10-10.

Systems and methods for optical material characterization of waste materials using machine learning

Номер патента: US12131524B2. Автор: Matanya B. HOROWITZ,James A. Bailey. Владелец: AMP Robotics Corp. Дата публикации: 2024-10-29.

System for masking vehicle noise and method for the same

Номер патента: US09794709B2. Автор: In Soo Jung. Владелец: Hyundai Motor Co. Дата публикации: 2017-10-17.

Method for enrolling data in a base to protect said data

Номер патента: US09710631B2. Автор: Herve Chabanne,Julien Bringer. Владелец: Morpho SA. Дата публикации: 2017-07-18.

Array substrate, method for manufacturing the same, and display device

Номер патента: US09685460B2. Автор: Heecheol KIM,Seungjin Choi,Youngsuk Song,Seongyeol Yoo. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-06-20.

Systems and methods for image feature recognition using a lensless camera

Номер патента: US20240135746A1. Автор: Zhu Li. Владелец: Rovi Guides Inc. Дата публикации: 2024-04-25.

System and method for universal structure preserving data masking

Номер патента: US9805019B2. Автор: Ashutosh Saxena,Shikha Gupta,Upagupta Mandal. Владелец: Infosys Ltd. Дата публикации: 2017-10-31.

Systems and methods for characterizing a foot of an individual

Номер патента: US20240265162A1. Автор: Kegan Leann Schouwenburg,Nathan Ghabour,Alexander Crosby. Владелец: Aetrex Inc. Дата публикации: 2024-08-08.

Systems and methods for reducing excess resource usage

Номер патента: WO2023196270A1. Автор: Simon J. BANNON,Ankur Mukesh AMLANI,Patrick Rory Luce KEATING. Владелец: Amgen Inc.. Дата публикации: 2023-10-12.

Systems and methods for image feature recognition using a lensless camera

Номер патента: US20230316804A1. Автор: Zhu Li. Владелец: Rovi Guides Inc. Дата публикации: 2023-10-05.

System for estimating a terminal capacitance and for characterizing a circuit

Номер патента: US20080127013A1. Автор: Alfred Lang,Stefan Bergler. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2008-05-29.

Method and system for characterizing golf ball striking ability

Номер патента: EP2790800A1. Автор: Hideyuki Ishii,Nicholas A. Leech,Roland ROTHENEDER. Владелец: Nike Innovate CV USA. Дата публикации: 2014-10-22.

Method for characterizing an intraoral organ

Номер патента: US20240062379A1. Автор: Guillaume GHYSELINCK,Thomas PELLISSARD,Laurent Andres. Владелец: Dental Monitoring SAS. Дата публикации: 2024-02-22.

Method And System For Characterizing Golf Ball Striking Ability

Номер патента: US20130157772A1. Автор: Hideyuki Ishii,Nicholas A. Leech,Roland ROTHENEDER. Владелец: Nike Inc. Дата публикации: 2013-06-20.

Systems and Methods for Speech Processing

Номер патента: GB2626038A. Автор: Sanand Doddipatla Rama,Li Mohan. Владелец: Toshiba Corp. Дата публикации: 2024-07-10.

Apparatuses and methods for converting a mask to an index

Номер патента: US09747960B2. Автор: Patrick A. La Fratta. Владелец: Micron Technology Inc. Дата публикации: 2017-08-29.

Methods for translating image and for training image translation model

Номер патента: EP3920130A1. Автор: Chen Zhao,Shaoxiong YANG. Владелец: Beijing Baidu Netcom Science And Technology Co Ltd. Дата публикации: 2021-12-08.

System and method for testing a non-volatile memory

Номер патента: US20240212781A1. Автор: Bogdan Georgescu,Vijay Raghavan,Cristinel Zonte. Владелец: Infineon Technologies LLC. Дата публикации: 2024-06-27.

Polarized light irradiation apparatus and method for polarized light irradiation

Номер патента: US20210191140A1. Автор: Kazumasa Ishii. Владелец: Ushio Denki KK. Дата публикации: 2021-06-24.

Preparation method for leads of semiconductor structure, and semiconductor structure

Номер патента: US12040269B2. Автор: Chung Yen Chou. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-16.

Method for suspended high-stress films on integrated distributed Bragg mirrors

Номер патента: NL2032428B1. Автор: Alexander Norte Richard,Maria Sberna Paolo,Cupertino Andrea. Владелец: Univ Delft Tech. Дата публикации: 2024-01-23.

System and method for testing a non-volatile memory

Номер патента: WO2024137333A3. Автор: Bogdan Georgescu,Vijay Raghavan,Cristinel Zonte. Владелец: Infineon Technologies LLC. Дата публикации: 2024-07-25.

System and method for testing a non-volatile memory

Номер патента: WO2024137333A2. Автор: Bogdan Georgescu,Vijay Raghavan,Cristinel Zonte. Владелец: Infineon Technologies LLC. Дата публикации: 2024-06-27.

Method for characterizing polarization transformers

Номер патента: US20010038486A1. Автор: Reinhold Noe. Владелец: Individual. Дата публикации: 2001-11-08.

Systems and methods for hardware acceleration of data masking

Номер патента: EP4454136A1. Автор: Eric S. Chung,Jinwen Xi,Ming Gang Liu. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-10-30.

Systems and methods for hardware acceleration of data masking

Номер патента: US20240296133A1. Автор: Eric S. Chung,Jinwen Xi,Ming Gang Liu. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2024-09-05.

Manufacturing method for VA type LCD panel

Номер патента: US09921432B2. Автор: Song LAN,Xiaolong Ma,Yungjui LEE,Xiufen Zhu. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-03-20.

Apparatus and method for gaussian filtering

Номер патента: US09842573B2. Автор: Hyuk Kim. Владелец: Electronics and Telecommunications Research Institute ETRI. Дата публикации: 2017-12-12.

Systems and methods for concurrent depth representation and inpainting of images

Номер патента: WO2022220832A1. Автор: Yael Pritch Knaan,Noritsugu KANAZAWA. Владелец: Google LLC. Дата публикации: 2022-10-20.

Mask plate, method for fabricating the same, display panel and display device

Номер патента: US20160333457A1. Автор: Lujiang Huangfu,Yinan LIANG,Lifei Ma. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-11-17.

System and method for serving interactive advertising content with responsive animations

Номер патента: US11727197B2. Автор: David Sebag,Terry McClendon. Владелец: Yieldmo Inc. Дата публикации: 2023-08-15.

Systems and methods for concurrent depth representation and inpainting of images

Номер патента: EP4244807A1. Автор: Yael Pritch Knaan,Noritsugu KANAZAWA. Владелец: Google LLC. Дата публикации: 2023-09-20.

Method for manufacturing thin film transistor, and thin film transistor

Номер патента: US20190386030A1. Автор: Songshan LI. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2019-12-19.

Display device for an interior of a motor vehicle, and method for operating the like

Номер патента: US09640136B2. Автор: Ulrich Mueller,Marcus Kuehne. Владелец: Audi AG. Дата публикации: 2017-05-02.

Liquid crystal display and method for manufacturing same

Номер патента: US09618809B2. Автор: Seung Jin Kim,Seul Ki Kim,Jeong Uk Heo,Yun Seok Han,Dong Ju Yang. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-04-11.

Method for manufacturing reflective polarizer

Номер патента: US20160070044A1. Автор: Sung Hoon Yang,Chang Ok KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-03-10.

Method for Analyzing a Structure within a Fluidic System

Номер патента: US20230085663A1. Автор: Anna-Lina HAHN. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-03-23.

Method for correcting a mask design layout

Номер патента: US20070168903A1. Автор: Jie Yang,Puneet Gupta,Dennis Sylvester,Andrew Kahng. Владелец: Individual. Дата публикации: 2007-07-19.

Systems and methods for characterizing high risk plaques

Номер патента: US20240023918A1. Автор: James K. Min. Владелец: Cleerly Inc. Дата публикации: 2024-01-25.

Systems and methods for concurrent depth representation and inpainting of images

Номер патента: US20240303788A1. Автор: Yael Pritch Knaan,Noritsugu KANAZAWA. Владелец: Google LLC. Дата публикации: 2024-09-12.

Method for correcting a mask design layout

Номер патента: US7614032B2. Автор: Jie Yang,Puneet Gupta,Dennis Sylvester,Andrew B. Kahng. Владелец: University of Michigan. Дата публикации: 2009-11-03.

Audio-signal equalisation device and method for a vechicle using a data communication bus

Номер патента: US20240347031A1. Автор: Moulay Fadili,Van Khanh MAÏ. Владелец: Arkamys SA. Дата публикации: 2024-10-17.

Systems and methods for cross-lingual transfer learning

Номер патента: US20240330603A1. Автор: Jin Qu,Caiming Xiong,Yingbo Zhou,Lifu Tu. Владелец: Salesforce Inc. Дата публикации: 2024-10-03.

Method for manufacturing reflective polarizer

Номер патента: US09417371B2. Автор: Sung Hoon Yang,Chang Ok KIM. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-08-16.

Method for manufacturing color resist

Номер патента: US20190391305A1. Автор: Qiming GAN. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2019-12-26.

Method for manufacturing polarizing plate using mask film and polarizing plate manufactured by same

Номер патента: US20210268754A1. Автор: Kyun Il Rah,Byung Sun Lee. Владелец: LG Chem Ltd. Дата публикации: 2021-09-02.

Display device and method for manufacturing the same

Номер патента: US20100140631A1. Автор: Christopher Brown,Masaki Yamanaka,Hiromi Katoh. Владелец: Individual. Дата публикации: 2010-06-10.

System and method for obtaining thermal image data of a body part and thermal imager

Номер патента: AU2019306766B2. Автор: Aaron WHITTAM,Rob SIMPSON. Владелец: NPL Management Ltd. Дата публикации: 2024-08-01.

Method for characterizing, detecting, and monitoring adhd

Номер патента: US20220061726A1. Автор: Georgios Eleftheriou,Panagiotis Fatouros,Charalampos Tsirmpas. Владелец: Feel Therapeutics Inc. Дата публикации: 2022-03-03.

Challenge-Response Authentication Using a Masked Response Value

Номер патента: EP2738705A9. Автор: Robert John Lambert. Владелец: Certicom Corp. Дата публикации: 2014-10-08.

System and method for radiative cooling for data centers

Номер патента: US20230413494A1. Автор: Elad Mentovich,Tahir Cader,Siddha Ganju,Nyla WORKER. Владелец: MELLANOX TECHNOLOGIES LTD. Дата публикации: 2023-12-21.

Method and system for characterizing pigmentary disorders in an individual

Номер патента: US20220071553A1. Автор: Gérard Berginc,Ion Berechet,Stefan Berechet. Владелец: Sispia SARL. Дата публикации: 2022-03-10.

Learning device and method for generating image

Номер патента: US12131441B2. Автор: Gyeongsu CHAE,Guembuel HWANG. Владелец: Deepbrain AI Inc. Дата публикации: 2024-10-29.

Method for the improvement of radiological images in the course of an angiography

Номер патента: US12136147B2. Автор: Sebastiano Zannoli,Enrico Altini. Владелец: Angiodroid Srl. Дата публикации: 2024-11-05.

Challenge-response authentication using a masked response value

Номер патента: US09727720B2. Автор: Robert John Lambert. Владелец: Certicom Corp. Дата публикации: 2017-08-08.

Method for characterization of objects

Номер патента: US20090169050A1. Автор: Martin Reuter,Franz-Erich Wolter,Niklas Peinecke. Владелец: Leibniz Universitaet Hannover. Дата публикации: 2009-07-02.

Device and method for obtaining a vital signal of a subject

Номер патента: US09928607B2. Автор: Vincent Jeanne,Michel Jozef Agnes ASSELMAN,Marinus Bastiaan Van Leeuwen. Владелец: Koninklijke Philips NV. Дата публикации: 2018-03-27.

Ultrasound system and method for providing guide line of needle

Номер патента: US09706978B2. Автор: Jong-Sik Kim,Ji-hye Baek. Владелец: Samsung Medison Co Ltd. Дата публикации: 2017-07-18.

System and method for compressing data in a database

Номер патента: US09442949B2. Автор: Ramabrahmam Velury,Jihui Tan,Guangcheng Zhou. Владелец: FutureWei Technologies Inc. Дата публикации: 2016-09-13.

Compositions and methods for tumor characterization

Номер патента: US20230392213A1. Автор: Gad Getz,Yosef MARUVKA. Владелец: General Hospital Corp. Дата публикации: 2023-12-07.

Method for patching up thin-film transistor circuits on a display panel by local thin-film deposition

Номер патента: US20050142669A1. Автор: Yi-Shen Chen,Liang-Hsing Fan. Владелец: AU OPTRONICS CORP. Дата публикации: 2005-06-30.

System and method for previewing digital content

Номер патента: GB2595419A. Автор: Her Loke Jong. Владелец: Pictureworks Pte Ltd. Дата публикации: 2021-11-24.

Color Management Method for Color Representation with Self-Luminous Color Primaries

Номер патента: US20110273468A1. Автор: Hugh Fairman,Patrick Tak Fu Chong. Владелец: Columbia Insurance Co. Дата публикации: 2011-11-10.

Kit and methods for characterizing a virus in a sample

Номер патента: EP4172366A1. Автор: Theo Lasser,Johan Hofkens,Jens H. Gundlach,Volker Leen. Владелец: Perseus Biomics BV. Дата публикации: 2023-05-03.

Mask frame assembly and method for aligning a substrate and a mask with the mask frame assembly

Номер патента: KR20050053426A. Автор: 강창호. Владелец: 삼성에스디아이 주식회사. Дата публикации: 2005-06-08.

SYSTEMS AND METHODS FOR TREATING AN EYE WITH A MASK DEVICE

Номер патента: US20170156926A1. Автор: Friedman Marc D.,Adler Desmond. Владелец: . Дата публикации: 2017-06-08.

SYSTEMS AND METHODS FOR TREATING AN EYE WITH A MASK DEVICE

Номер патента: US20200229974A1. Автор: Friedman Marc D.,Adler Desmond. Владелец: . Дата публикации: 2020-07-23.

System and method for curing polymeric moldings having a masking collar

Номер патента: US7387759B2. Автор: Allen Gilliard,George Burnett,William Michael Kelly,John Michael Dilworth. Владелец: NOVARTIS AG. Дата публикации: 2008-06-17.

Methods for fabricating integrated circuits using self-aligned quadruple patterning

Номер патента: US20150170973A1. Автор: Jason CANTONE,Ryan Ryoung Han Kim. Владелец: Globalfoundries Inc. Дата публикации: 2015-06-18.

Systems and Methods for Obtaining Data Characterizing a Three-Dimensional Object

Номер патента: US20200014910A1. Автор: Larkins Andrew Henry John. Владелец: . Дата публикации: 2020-01-09.

METHOD FOR GENERATING PARAMETERS CHARACTERIZING A CRYPTOGRAPHIC PROTOCOL

Номер патента: FR3053862B1. Автор: Olivier Bernard,Renaud Dubois. Владелец: Thales SA. Дата публикации: 2020-01-17.

METHOD FOR USING ULTRA THIN RUTHENIUM METAL HARD MASK FOR ETCHING PROFILE CONTROL

Номер патента: US20210028017A1. Автор: Raley Angelique,Lu Yen-Tien,Yu Kai-Hung. Владелец: . Дата публикации: 2021-01-28.

Method for the production of a provisional shadow mask for a color television picture tube

Номер патента: DE2148705A1. Автор: Philip Kuznetzoff,Fadner Jun Glenn Roland. Владелец: RCA Corp. Дата публикации: 1972-04-06.

Characterizing a client apparatus on at least one server apparatus

Номер патента: US20160344727A1. Автор: Steffen Fries,Hendrik Brockhaus,Jens-Uwe Busser,David Von Oheimb. Владелец: SIEMENS AG. Дата публикации: 2016-11-24.

Systems and methods for characterizing a conduit in a respiratory therapy system

Номер патента: US20240335630A1. Автор: Stephen Mcmahon,Redmond SHOULDICE. Владелец: Resmed Digital Health Inc. Дата публикации: 2024-10-10.

Method and apparatus for characterizing a dynamic vision sensor

Номер патента: US20240259702A1. Автор: Peter Durr,Diederik Paul MOEYS. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2024-08-01.

Systems and methods for characterizing compositions comprising fecal-derived bacterial populations

Номер патента: EP3413902A1. Автор: Emma Allen-Vercoe,Shawn LANGER,Nissim MASHIACH. Владелец: Nubyiota LLC. Дата публикации: 2018-12-19.

Methods of forming a masking pattern for integrated circuits

Номер патента: EP2353172A2. Автор: Anton Devilliers. Владелец: Micron Technology Inc. Дата публикации: 2011-08-10.

Methods for fabricating a capacitor

Номер патента: US20070243690A1. Автор: Lurng-Shehng Lee,Pei-Jer Tzeng,Hengyuan Lee,Ching Chiun Wang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2007-10-18.

Methods for fabricating a capacitor

Номер патента: US7405122B2. Автор: Lurng-Shehng Lee,Pei-Jer Tzeng,Hengyuan Lee,Ching Chiun Wang. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2008-07-29.

Method for forming semiconductor structure

Номер патента: US20210358764A1. Автор: Hsin-Hung Chou,Cheng-Ta Yang,Ting-Wei Wu. Владелец: Winbond Electronics Corp. Дата публикации: 2021-11-18.

Method for manufacturing semiconductor device, and method for processing etching-target film

Номер патента: US8124322B2. Автор: Eiichi Soda. Владелец: Renesas Electronics Corp. Дата публикации: 2012-02-28.

Method for producing structure in chips

Номер патента: US20030044723A1. Автор: Jochen Kraft,Hubert Enichlmair,Martin Schatzmayr. Владелец: austriamicrosystems AG. Дата публикации: 2003-03-06.

Method for evaluating and/or comparing welding consumables

Номер патента: US20070012674A1. Автор: Ashish Kapoor,Teresa Melfi,Jon Chiappone,Janet Morse. Владелец: Lincoln Global Inc. Дата публикации: 2007-01-18.

Method for producing insulated circuit board using a mask and partial plating method using the mask

Номер патента: US11761108B2. Автор: Satoru Ideguchi,Masaaki HIGO. Владелец: Dowa Metaltech Co Ltd. Дата публикации: 2023-09-19.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US20060194394A1. Автор: Heung Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-08-31.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US7645672B2. Автор: Heung Jin Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-01-12.

Apparatus and method for a face mask

Номер патента: US12076592B2. Автор: Julie Anne Duty. Владелец: Individual. Дата публикации: 2024-09-03.

Mask plate, method for processing organic layer and method for fabricating display substrate

Номер патента: US09711726B2. Автор: Jiaqi Zhang,Dejiang Zhao. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-07-18.

Systems and methods for categorizing network traffic content

Номер патента: US09537871B2. Автор: Michael Xie. Владелец: Fortinet Inc. Дата публикации: 2017-01-03.

Mask and method for producing a mask

Номер патента: US12022899B2. Автор: Marc Stefan Witt. Владелец: Individual. Дата публикации: 2024-07-02.

Method for determining alleviation of pain in an animal

Номер патента: US20040241092A1. Автор: Kenneth Kilgore,Suzan Bove. Владелец: Individual. Дата публикации: 2004-12-02.

Mask, method of manufacturing a mask and method of manufacturing an OLED panel

Номер патента: US09437837B2. Автор: Jinchuan Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-09-06.

Systems and methods for moldable and castable personal protective face masks

Номер патента: US20220039491A1. Автор: Thomas Sharkey,Nadir Weibel. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2022-02-10.

Helmet and method for assembling a helmet

Номер патента: US20240197026A1. Автор: Michael Roy,Ivan Brousseau,Frederic GAMACHE-CAMIRE. Владелец: BOMBARDIER RECREATIONAL PRODUCTS INC. Дата публикации: 2024-06-20.

Method for manufacturing semiconductor laser

Номер патента: US20100151611A1. Автор: Nozomi Ohashi,Tsuyoshi Fujimoto,Eiji Nakayama,Masaru Kuramoto. Владелец: Sony Corp. Дата публикации: 2010-06-17.

Method for manufacturing semiconductor device

Номер патента: US8466069B2. Автор: Toshiyuki Sasaki. Владелец: Toshiba Corp. Дата публикации: 2013-06-18.

Method for manufacturing semiconductor device

Номер патента: US20120244672A1. Автор: Toshiyuki Sasaki. Владелец: Individual. Дата публикации: 2012-09-27.

Mask, method of manufacturing a mask and method of manufacturing an OLED panel

Номер патента: US9437837B2. Автор: Jinchuan Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-09-06.

Method for manufacturing memory and memory

Номер патента: US12082393B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-03.

Helmet and method for assembling a helmet

Номер патента: CA3223143A1. Автор: Michael Roy,Ivan Brousseau,Frederic GAMACHE-CAMIRE. Владелец: BOMBARDIER RECREATIONAL PRODUCTS INC. Дата публикации: 2024-06-15.

Surface processing method, mask for surface processing, and optical device

Номер патента: US20110117323A1. Автор: Kimio Ichikawa. Владелец: Fujifilm Corp. Дата публикации: 2011-05-19.

Method for forming semiconductor substrate with convex shaped active region

Номер патента: US20030157759A1. Автор: Yin-Pin Wang,Hsin Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2003-08-21.

Method for forming semiconductor device structure

Номер патента: US09799665B2. Автор: Shih-Chang Liu,Chang-Ming Wu,Tsung-Hsueh Yang,Chung-Chiang Min. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Methods for masking and applying protective coatings to electronic assemblies

Номер патента: US09627194B2. Автор: Max Sorenson,Blake Stevens,Sidney Edward Martin, Iii. Владелец: HZO Inc. Дата публикации: 2017-04-18.

Mask, method of manufacturing a mask and method of manufacturing an OLED panel

Номер патента: US09518344B1. Автор: Jinchuan Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2016-12-13.

Screen print system and method for cleaning a mask of the same

Номер патента: US20120000380A1. Автор: Tetsuya Tanaka,Kunihiko Tokita. Владелец: Panasonic Corp. Дата публикации: 2012-01-05.

Masking methods for ALD processes for electrode-based devices

Номер патента: US20170025272A1. Автор: Ritwik Bhatia. Владелец: Ultratech Inc. Дата публикации: 2017-01-26.

Mask repairing apparatus, method for repairing mask and evaporation system

Номер патента: US20180148821A1. Автор: WEI Cui,Wenyue Fu. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2018-05-31.

Systems and methods for characterizing the performance of a wireless network

Номер патента: WO2007121377A2. Автор: Steve Roskowski. Владелец: CARRIER IQ, INC.. Дата публикации: 2007-10-25.

Method for manufacturing microfabrication apparatus

Номер патента: US6387713B2. Автор: Masaki Hara. Владелец: Sony Corp. Дата публикации: 2002-05-14.

Systems and methods for characterizing the performance of a wireless network

Номер патента: WO2007121377A3. Автор: Steve Roskowski. Владелец: Steve Roskowski. Дата публикации: 2008-07-31.

Method for preparing contact plug structure

Номер патента: US20120270386A1. Автор: Chang Ming Wu. Владелец: Nanya Technology Corp. Дата публикации: 2012-10-25.

Various methods for manufacturing structured press elements

Номер патента: EP3687830A1. Автор: Martin Segaert,Christophe Maesen,Dries Brouckaert. Владелец: UNILIN BV. Дата публикации: 2020-08-05.

Method for fabricating fully depleted silicon-on-insulator pmos devices

Номер патента: US20240186402A1. Автор: LIAN Lu,Xiangguo Meng,Siyuan CHE. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-06-06.

Method for production of graph scale of cathode-ray tube panel for a oscilloscope

Номер патента: US5582940A. Автор: Kyung C. Kim. Владелец: Orion Electric Co Ltd Korea. Дата публикации: 1996-12-10.

Mask device and method for controlling the same

Номер патента: US12121084B2. Автор: Hyungho Park,Hoojin KIM,Keonwang LEE,Seongman JANG. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-10-22.

Etch system and method for single substrate processing

Номер патента: US09852920B2. Автор: Ian J. Brown,Wallace P. Printz. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-12-26.

Method for laterally trimming a hardmask

Номер патента: US09779952B2. Автор: Alok Ranjan,Sergey Voronin. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-10-03.

Method for manufacturing a silicon carbide device and a silicon carbide device

Номер патента: US09704718B2. Автор: Ralf Otremba,Anton Mauder,Jens Konrath. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-07-11.

Masking methods for ALD processes for electrode-based devices

Номер патента: US09633850B2. Автор: Ritwik Bhatia. Владелец: Ultratech Inc. Дата публикации: 2017-04-25.

Semiconductor devices and methods for manufacturing the same

Номер патента: US09543450B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-01-10.

Method for forming a trench in a first semiconductor layer of a multi-layer system

Номер патента: US20220230886A1. Автор: Nicolas Schorr,Christof Schwenk. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2022-07-21.

Wafer dicing method for improving die packaging quality

Номер патента: EP3039710A1. Автор: AJAY Kumar,Wei-Sheng Lei,Brad Eaton,Madhava Rao Yalamanchili,Aparna Iyer,Jungrae Park. Владелец: Applied Materials Inc. Дата публикации: 2016-07-06.

Method for patterning active areas in semiconductor structure

Номер патента: US20240243005A1. Автор: Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-18.

Method for producing quantum cascade laser and quantum cascade laser

Номер патента: US20170170634A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-06-15.

Method for Fixturing a Gas Turbine Engine Component for an Electroplating Process

Номер патента: US20230183878A1. Автор: James Whitton,Zachary ORAS,Zachary Hopkins. Владелец: Chromalloy Gas Turbine Corp. Дата публикации: 2023-06-15.

Method for patterning active areas in semiconductor structure

Номер патента: US20240243003A1. Автор: Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2024-07-18.

Method for manufacturing a masking film and masking film manufactured thereby

Номер патента: WO2024168790A1. Автор: Chao Wang,Jinwang Xu,Guangkai WANG,Zehua YE. Владелец: Tredegar Surface Protection , Llc. Дата публикации: 2024-08-22.

Apparatus for continuous masking for selective electroplating and method

Номер патента: WO1999017933A1. Автор: William J. Copping,Paul M. Bronschidle,Richard J. Zimmermann. Владелец: Precious Plate Inc.. Дата публикации: 1999-04-15.

Method for forming a film by selective area MOCVD growth

Номер патента: US5728215A. Автор: Takushi Itagaki,Masayoshi Takemi,Norio Hayafuji. Владелец: Mitsubishi Electric Corp. Дата публикации: 1998-03-17.

Flash memories and methods for manufacturing the same

Номер патента: US20190181148A1. Автор: ANKIT Kumar,Manoj Kumar,Chia-hao Lee. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2019-06-13.

Heater and the method for producing the same using pcb

Номер патента: WO2005027579A1. Автор: Jae-sang Park. Владелец: Jae-sang Park. Дата публикации: 2005-03-24.

System and method for an antiquing paste

Номер патента: US20070254105A1. Автор: Richard Stovall. Владелец: Individual. Дата публикации: 2007-11-01.

Method for forming a trench in a first semiconductor layer of a multi-layer system

Номер патента: US12094717B2. Автор: Nicolas Schorr,Christof Schwenk. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-09-17.

Interconnect structure and method for forming the same

Номер патента: US09892921B2. Автор: QIYANG He,Chenglong Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-02-13.

Array substrate of X-ray sensor and method for manufacturing the same

Номер патента: US09786711B2. Автор: DONG Yang. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-10-10.

Method for manufacturing thin film transistor and pixel unit thereof

Номер патента: US09679995B2. Автор: Peng Wei,Xiaojun Yu,Zihong Liu. Владелец: Shenzhen Royole Technologies Co Ltd. Дата публикации: 2017-06-13.

Mask, method for manufacturing the same and process device

Номер патента: US09605336B2. Автор: Dong Qian,Zhiyong XIONG,Yunyan Wei. Владелец: Shanghai Tianma AM OLED Co Ltd. Дата публикации: 2017-03-28.

Array substrate of X-ray sensor and method for manufacturing the same

Номер патента: US09484384B2. Автор: DONG Yang. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2016-11-01.

Mask and method for sealing a glass envelope

Номер патента: US09399594B2. Автор: Brian Paul Strines,Michelle Nicole Haase Pastel. Владелец: Corning Inc. Дата публикации: 2016-07-26.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US20130178036A1. Автор: Masashi Shima. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2013-07-11.

Method for fabricating array structure of columnar capacitor and semiconductor structure

Номер патента: US20230298899A1. Автор: Jun Xia,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-21.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US20130178032A1. Автор: Masashi Shima. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2013-07-11.

Semiconductor apparatus and method for manufacturing the same

Номер патента: US7833841B2. Автор: Isao Sakama,Hidehiko Kando. Владелец: HITACHI LTD. Дата публикации: 2010-11-16.

Semiconductor apparatus and method for manufacturing the same

Номер патента: US20090159881A1. Автор: Isao Sakama,Hidehiko Kando. Владелец: HITACHI LTD. Дата публикации: 2009-06-25.

Film pattern and methods for forming the same

Номер патента: US12087742B2. Автор: Ying-Chieh Chen. Владелец: Skiileux Electricity Inc. Дата публикации: 2024-09-10.

Method for manufacturing structured press elements

Номер патента: EP4223552A1. Автор: Martin Segaert,Christophe Maesen,Dries Brouckaert. Владелец: Flooring Industries Ltd SARL. Дата публикации: 2023-08-09.

Mask body of face mask with supporting strips and method for making the same

Номер патента: WO2023272933A1. Автор: Chin-lung Yang. Владелец: Yang Chin Lung. Дата публикации: 2023-01-05.

Method for forming semiconductor device structure

Номер патента: US09425087B1. Автор: Che-Cheng Chang,Wei-Ting Chen,Wei-Yin Shiao,Tai-Shin Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-23.

System and Method for Selectable Mask for LDSL

Номер патента: US20090219979A1. Автор: Patrick Duvaut,Ehud Langberg. Владелец: Conexant Systems LLC. Дата публикации: 2009-09-03.

Method for forming parting line

Номер патента: EP4155429A1. Автор: Tsuyoshi Kimura,Junya Yamamoto,Kentaro KIZAKI. Владелец: Toyota Motor Corp. Дата публикации: 2023-03-29.

System and method for detection of speech related acoustic signals by using a laser microphone

Номер патента: EP2915165A1. Автор: Tal BAKISH. Владелец: VOCALZOOM SYSTEMS Ltd. Дата публикации: 2015-09-09.

Method for producing nonvolatile semiconductor memory device and the device itself

Номер патента: US20040077146A1. Автор: Hiroaki Tsunoda,Tadashi Iguchi. Владелец: Toshiba Corp. Дата публикации: 2004-04-22.

Method for producing nonvolatile semiconductor memory device and the device itself

Номер патента: US6927132B2. Автор: Hiroaki Tsunoda,Tadashi Iguchi. Владелец: Toshiba Corp. Дата публикации: 2005-08-09.

System and Method for Selectable Mask for LDSL

Номер патента: US20080080601A1. Автор: Patrick Duvaut,Ehud Langberg. Владелец: Conexant Systems LLC. Дата публикации: 2008-04-03.

Mask Plate, Method for Packaging OLED Device and OLED Device

Номер патента: US20160380202A1. Автор: Song Zhang,Tao Sun,Tao Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-12-29.

Dyeing method for functional contact lenses

Номер патента: US12053944B2. Автор: Wen-Ching Lin,Chi-Ching Chen,Ching-Fang LEE,Hsiao-Chun Lin. Владелец: Vizionfocus Inc. Дата публикации: 2024-08-06.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US7180144B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2007-02-20.

System and method for communications security protection

Номер патента: WO1990014722A1. Автор: William Sparks,Geoffrey W. Gates,John M. Saltwick,Dean Scarinci. Владелец: Syntellect Inc.. Дата публикации: 1990-11-29.

System and method for characterization of atrial wall using digital signal processing

Номер патента: WO2007127534A3. Автор: Oort Geeske Van. Владелец: Oort Geeske Van. Дата публикации: 2008-10-02.

Semiconductor light emitting element and method for manufacturing the same

Номер патента: US20140070227A1. Автор: Masashi Deguchi,Shingo Totani. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2014-03-13.

Methods and systems for characterizing phosphoserine-containing polypeptides

Номер патента: WO2024086781A9. Автор: Haidong Huang,Kenneth SKINNER,David N. KAMBER. Владелец: Quantum-Si incorporated. Дата публикации: 2024-08-15.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Method for forming parting line

Номер патента: US20230098435A1. Автор: Tsuyoshi Kimura,Junya Yamamoto,Kentaro KIZAKI. Владелец: Toyota Motor Corp. Дата публикации: 2023-03-30.

Corner compensation method for fabricating MEMS and structure thereof

Номер патента: US6949396B2. Автор: Jerwei Hsieh,Weileun Fang. Владелец: WALSIN LIHWA CORP. Дата публикации: 2005-09-27.

Apparatus and method for manufacturing display device

Номер патента: US20240324434A1. Автор: Duckjung Lee,Jeongkuk Kim,Sugwoo Jung. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-09-26.

Semiconductor device and method for manufacturing thereof

Номер патента: WO2009070336A1. Автор: Masayuki Sato,Takayuki Maruyama,Fumihiko Inoue. Владелец: SPANSION LLC. Дата публикации: 2009-06-04.

System and method for masking user application usage

Номер патента: US12108244B1. Автор: Serge Manning,Marouane BALMAKHTAR,Gregory Schumacher. Владелец: T Mobile Innovations LLC. Дата публикации: 2024-10-01.

Method for fabricating semiconductor device

Номер патента: WO2023131742A1. Автор: Ville Vilokkinen,Riina Ulkuniemi,Petri Melanen. Владелец: Modulight Corporation. Дата публикации: 2023-07-13.

Semiconductor device and method for fabricating the same

Номер патента: US09887159B1. Автор: Mengkai Zhu. Владелец: United Microelectronics Corp. Дата публикации: 2018-02-06.

Method for manufacturing integrated circuit device

Номер патента: US09887098B2. Автор: Katsunori Yahashi. Владелец: Toshiba Memory Corp. Дата публикации: 2018-02-06.

Method and apparatus for characterizing local oscillator path dispersion

Номер патента: US09793857B1. Автор: Jan Verspecht,Keith F. Anderson,Troels Studsgaard Nielsen. Владелец: Keysight Technologies Inc. Дата публикации: 2017-10-17.

Vapor deposition method and method for producing an organic electroluminescence display device

Номер патента: US09741932B2. Автор: Satoshi Inoue,Tohru Sonoda,Shinichi Kawato. Владелец: Sharp Corp. Дата публикации: 2017-08-22.

Mask plate, method for packaging OLED device and OLED device

Номер патента: US09647212B2. Автор: Song Zhang,Tao Sun,Tao Wang. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2017-05-09.

Method for forming thin film pattern

Номер патента: US09634045B2. Автор: Haisheng ZHAO,Zhilian XIAO,Xiaoguang PEI. Владелец: Beijing BOE Optoelectronics Technology Co Ltd. Дата публикации: 2017-04-25.

Method for monitoring ion implantation

Номер патента: US09524852B2. Автор: Hui Tian. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-12-20.

Systems and methods for masking endoscopic images

Номер патента: US20230209008A1. Автор: Amit A. Mahadik,Kundan Krishna. Владелец: Stryker Corp. Дата публикации: 2023-06-29.

Mask structure, semiconductor structure and methods for manufacturing same

Номер патента: US12027369B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-02.

Method for manufacturing semiconductor device

Номер патента: US20160268138A1. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-09-15.

Pointer based binary search engine and method for use in network devices

Номер патента: EP1259035A3. Автор: Jonathan Lin,David Billings,Somayajulu Pullela. Владелец: Broadcom Corp. Дата публикации: 2004-08-25.

Methods and systems for characterizing phosphoserine-containing polypeptides

Номер патента: WO2024086781A3. Автор: Haidong Huang,Kenneth SKINNER,David N. KAMBER. Владелец: Quantum-Si incorporated. Дата публикации: 2024-05-30.

Methods and systems for characterizing phosphoserine-containing polypeptides

Номер патента: WO2024086781A2. Автор: Haidong Huang,Kenneth SKINNER,David N. KAMBER. Владелец: Quantum-Si incorporated. Дата публикации: 2024-04-25.

Method and device for characterizing UWB pulse sequences

Номер патента: US20050271118A1. Автор: Stephane Paquelet. Владелец: Mitsubishi Electric Corp. Дата публикации: 2005-12-08.

Method for mounting a shutter to a window or door casing and drilling jig for implementing the method

Номер патента: EP3772565A1. Автор: Loris Parolari. Владелец: Individual. Дата публикации: 2021-02-10.

Transistor and method for forming the same

Номер патента: US20120104486A1. Автор: Huilong Zhu,Haizhou Yin,Zhijong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-05-03.

Method for compensating for nonuniform etch profiles

Номер патента: US20030089677A1. Автор: Cristian Bolle. Владелец: Lucent Technologies Inc. Дата публикации: 2003-05-15.

Method for making a sub 100 nanometer semiconductor device using conventional lithography steps

Номер патента: US20030022517A1. Автор: Alan Myers,Ebrahim Andideh. Владелец: Intel Corp. Дата публикации: 2003-01-30.

Methods for enhancing anti-tumor activity of exhausted t cells

Номер патента: US20230263831A1. Автор: Geoffrey Hill,Simone Minnie. Владелец: Fred Hutchinson Cancer Research Center. Дата публикации: 2023-08-24.

Method for manufacturing structured press elements

Номер патента: US20230257886A1. Автор: Martin Segaert,Christophe Maesen,Dries Brouckaert. Владелец: Flooring Industries Ltd SARL. Дата публикации: 2023-08-17.

Information processing apparatus, control method for information processing apparatus, and storage medium

Номер патента: US20240323306A1. Автор: Akiko Ito,Yukari Yano. Владелец: Canon Inc. Дата публикации: 2024-09-26.

Electrode And Method For Preparing Same

Номер патента: US20240290945A1. Автор: Tae Su Kim,Hyuk Soo Lee,Hyojin Lee,Seo Jun Lee. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-08-29.

Vapor deposition method for producing an organic EL panel

Номер патента: US09947904B2. Автор: Nobuhiro Hayashi,Tohru Sonoda,Shinichi Kawato. Владелец: Sharp Corp. Дата публикации: 2018-04-17.

Method for etching etching target layer

Номер патента: US09418863B2. Автор: Hiroshi Okada,Yoshiki Igarashi,Shin Hirotsu,Tomonori Miwa. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-08-16.

Methods for fabricating distributed feedback lasers

Номер патента: WO2024056327A1. Автор: Hélène Debregeas,Natalia DUBROVINA,Sofiane Belahsene. Владелец: ALMAE TECHNOLOGIES. Дата публикации: 2024-03-21.

System and method for making thin-film structures using a stepped profile mask

Номер патента: WO2002075016A1. Автор: Todd Lanier Hylton,David Alan Baldwin. Владелец: 4Wave, Inc.. Дата публикации: 2002-09-26.

Method for fabricating y-direction, self-alignment mask rom device

Номер патента: US20030235955A1. Автор: Jen-Chuan Pan. Владелец: Individual. Дата публикации: 2003-12-25.

Method for manufacturing memory

Номер патента: US20040266104A1. Автор: Sung-Mu Hsu. Владелец: Individual. Дата публикации: 2004-12-30.

Semiconductor devices and methods for forming the same

Номер патента: US20200194564A1. Автор: Chung-Yeh Lee,Sheng-Wei FU,Tsung-Yeh CHEN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2020-06-18.

Method for manufacturing semiconductor structure

Номер патента: US10593550B2. Автор: Erhu ZHENG,Jinhe Qi. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2020-03-17.

Method for forming and filling isolation trenches

Номер патента: EP1338033A2. Автор: Andreas Knorr,Rajeev Malik,Mihel Seitz. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-08-27.

System and method for dynamic optical switching using a diffractive optical element

Номер патента: WO2002019756A3. Автор: Rick K Dorval,Gregg E Favalora. Владелец: Gregg E Favalora. Дата публикации: 2003-01-30.

System and method for dynamic optical switching using a diffractive optical element

Номер патента: WO2002019756A2. Автор: Gregg E. Favalora,Rick K. Dorval. Владелец: Actuality Systems, Inc.. Дата публикации: 2002-03-07.

Method for forming a patterned semiconductor film

Номер патента: EP1208612A1. Автор: Jianna Wang,Paul S. Drzaic,Peter Kazlas,Karl Amundson,Gregg Duthaler. Владелец: E Ink Corp. Дата публикации: 2002-05-29.

Method for manufacturing semiconductor light emmiting device

Номер патента: US20120190146A1. Автор: Kyohei Shibata. Владелец: Toshiba Corp. Дата публикации: 2012-07-26.

Method for manufacturing semiconductor structure

Номер патента: US20180342393A1. Автор: Erhu ZHENG,Jinhe Qi. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-11-29.

Semiconductor device and method for manufacturing same

Номер патента: US12062655B2. Автор: Jun Takaoka. Владелец: ROHM CO LTD. Дата публикации: 2024-08-13.

Apparatus and method for detecting anomalies in human tissue

Номер патента: WO2000044281A9. Автор: Jeffrey L Garwin,Farid Souluer. Владелец: Ultratouch Corp. Дата публикации: 2001-07-26.

Manufacturing method for an integrated semiconductor structure

Номер патента: US20070281417A1. Автор: Daniel Koehler,Peter Baars,Stefan Tegen,Klaus Muemmler,Joern Regul. Владелец: Qimonda AG. Дата публикации: 2007-12-06.

Method for manufacturing semiconductor device

Номер патента: US20080293225A1. Автор: Kyoichi Suguro. Владелец: Individual. Дата публикации: 2008-11-27.

Method for manufacturing semiconductor device

Номер патента: US20020164881A1. Автор: Hidetaka Nambu. Владелец: NEC Corp. Дата публикации: 2002-11-07.

Optical Annealing Apparatus And Method For Forming Semiconductor Structure

Номер патента: US20240105473A1. Автор: Lei Wang. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2024-03-28.

Method for manufacturing laminated structure and method for manufacturing liquid ejection head substrate

Номер патента: US12090739B2. Автор: Koji Sasaki,Kouji Hasegawa. Владелец: Canon Inc. Дата публикации: 2024-09-17.

Systems and methods for deriving a motion vector prediction in video coding

Номер патента: AU2024205634A1. Автор: Christopher Andrew Segall,Kiran Mukesh MISRA,Frank Bossen. Владелец: FG Innovation Co Ltd. Дата публикации: 2024-08-29.

Fast, automated method for characterization of nonspecific pathogens in a sample

Номер патента: WO2022212610A1. Автор: Michael Fang,Joseph MICKEL,Christopher Pham,John Mickel. Владелец: Pathogene, Inc.. Дата публикации: 2022-10-06.

Patterned curviform surface of glass and method for manufacture the same

Номер патента: US20140093681A1. Автор: Nai-Yue Liang. Владелец: Individual. Дата публикации: 2014-04-03.

Capacitor array structure and method for forming same

Номер патента: US12062690B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN,Yanghao LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-13.

System, apparatus and method for treating sleep disorder symptoms

Номер патента: US09889271B2. Автор: Kamil ADAMCZYK,Janusz FRĄCZEK. Владелец: Inteliclinic Inc. Дата публикации: 2018-02-13.

Semiconductor device and method for fabricating the same

Номер патента: US09865495B2. Автор: Ki-Il KIM,Jung-Gun You,Myung-Yoon Um,Gi-gwan PARK,Hyung-Dong Kim,Sug-Hyun Sung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-01-09.

Manufacturing method for semiconductor device

Номер патента: US09852926B2. Автор: Kengo Akimoto,Yukinori Shima. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-12-26.

Method for manufacturing semiconductor device

Номер патента: US09620366B2. Автор: Shinichi Nakao,Mitsuhiro Omura,Kei Watanabe,Yusuke Oshiki,Shunsuke Ochiai. Владелец: Toshiba Corp. Дата публикации: 2017-04-11.

Method for integrating germanides in high performance integrated circuits

Номер патента: US09553031B1. Автор: Thorsten Lill,Paul Raymond Besser. Владелец: Lam Research Corp. Дата публикации: 2017-01-24.

Systems and Methods for the Real-Time Generation of In-Game, Locally Accessible Barrier-Aware Heatmaps

Номер патента: US20190105567A1. Автор: Stephen A. Lang. Владелец: Activision Publishing Inc. Дата публикации: 2019-04-11.

Method for characterizing the vibration of a surface

Номер патента: US20240188844A1. Автор: Mathias Fink,Thomas Similowski,Ros-Kiri ING. Владелец: Individual. Дата публикации: 2024-06-13.

Method for operating a high-resolution projection headlight, and projection headlight for a motor vehicle

Номер патента: US12043165B2. Автор: Philipp Roeckl. Владелец: PSA Automobiles SA. Дата публикации: 2024-07-23.

Systems and Methods for the Real-Time Generation of In-Game, Locally Accessible Barrier-Aware Heatmaps

Номер патента: US20200360813A1. Автор: Stephen A. Lang. Владелец: Activision Publishing Inc. Дата публикации: 2020-11-19.

Method for manufacturing static random access memory device

Номер патента: US20180069012A1. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-08.

Method for manufacturing static random access memory device

Номер патента: US20170162580A1. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-08.

Method for manufacturing static random access memory device

Номер патента: US20210050356A1. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-18.

Method for manufacturing a semiconductor element

Номер патента: US20060286733A1. Автор: Masahiro Hayashi,Akihiro Shiraishi,Takahisa Akiba. Владелец: Seiko Epson Corp. Дата публикации: 2006-12-21.

Method for manufacturing a thin-layer photovoltaic device, in particular for solar glazing

Номер патента: US20150287859A1. Автор: Ivan Jager,Pierre-Yves Thoulon,Marc Ricci. Владелец: CROSSLUX. Дата публикации: 2015-10-08.

Method for implanting and coding a read-only memory with automatic alignment at four corners

Номер патента: US20030092275A1. Автор: Chun Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-05-15.

Method for characterization of paths travelled by mobile user terminals

Номер патента: US20240196251A1. Автор: Davide Micheli,Aldo Vannelli. Владелец: Telecom Italia SpA. Дата публикации: 2024-06-13.

Apparatus and method for detecting anomalies in human tissue

Номер патента: EP1152691A1. Автор: Jeffrey L. Garwin,Farid Souluer. Владелец: Ultratouch Corp. Дата публикации: 2001-11-14.

Method for manufacturing static random access memory device

Номер патента: US09842843B2. Автор: Jhon Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-12.

Method for producing a film element

Номер патента: US09463659B2. Автор: Ludwig Brehm,Haymo Katschorek,Norbert Laus. Владелец: Leonhard Kurz Stiftung and Co KG. Дата публикации: 2016-10-11.

Apparatus and method for photolithographically forming an ophthalmic lens

Номер патента: US20240131810A1. Автор: Felix Brinckmann,Nils Schweizer. Владелец: Alcon Inc. Дата публикации: 2024-04-25.

Apparatus and method for photolithographically forming an ophthalmic lens

Номер патента: US20240227328A9. Автор: Felix Brinckmann,Nils Schweizer. Владелец: Alcon Inc. Дата публикации: 2024-07-11.

System and method for critical dimension reduction and pitch reduction

Номер патента: US20070004217A1. Автор: Robert Charatan. Владелец: Lam Research Corp. Дата публикации: 2007-01-04.

Method for fabricating read only memory

Номер патента: US20030181013A1. Автор: Ching-Yu Chang,Henry Chung,Cheng-Chen Calvin Hsueh,Tahorng Yang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-09-25.

Mask apparatus and method for controlling the same

Номер патента: US12042674B2. Автор: Hojung Kim,Chiyoung CHOI,Taejun KIM,Keonwang LEE. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-07-23.

Compositions and methods for characterizing polynucleotide sequence alterations

Номер патента: US20240076736A1. Автор: Yuriy BAGLAENKO,Soumya RAYCHAUDHURI. Владелец: Brigham and Womens Hospital Inc. Дата публикации: 2024-03-07.

Method for producing quantum cascade laser and quantum cascade laser

Номер патента: US20170170633A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2017-06-15.

Systems and methods for positioning masking plugs on a component

Номер патента: US20170189989A1. Автор: Jonathan Matthew Lomas,Tyler Walton Kasperski. Владелец: General Electric Co. Дата публикации: 2017-07-06.

Mask, method for fabricating mask and drive-backplane motherboard

Номер патента: US12052907B2. Автор: Peng Xu,Fengli JI. Владелец: Chengdu BOE Optoelectronics Technology Co Ltd. Дата публикации: 2024-07-30.

Method for producing quantum cascade laser and quantum cascade laser

Номер патента: US9941666B2. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2018-04-10.

Digital filter circuit and method for blocking a transmission line reflection signal

Номер патента: EP1573934A1. Автор: Edmond Toy,Klaas-Jan De Langen. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2005-09-14.

Digital filter circuit and method for blocking a transmission line reflection signal

Номер патента: US20050088962A1. Автор: Edmond Toy,Klaas-Jan De Langen. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2005-04-28.

Coating system for metal strips and method for producing metal strips partially coated on one side

Номер патента: US20070269602A1. Автор: Hermann Sturm,Leszek Poletek. Владелец: Wieland Werke AG. Дата публикации: 2007-11-22.

Method for fabricating floating gate

Номер патента: US20040110342A1. Автор: Yu-Chi Sun,Tse-Yao Huang,Chao-Wen Lay. Владелец: Nanya Technology Corp. Дата публикации: 2004-06-10.

Method for forming buried conductive line and structure of buried conductive line

Номер патента: US20130270708A1. Автор: Vivek Gopalan. Владелец: Nanya Technology Corp. Дата публикации: 2013-10-17.

Film forming system, method for controlling film forming system, and article manufacturing method

Номер патента: US12071311B2. Автор: Takeshi Yamamoto. Владелец: Canon Inc. Дата публикации: 2024-08-27.

Method for forming an electrical insulating layer on bit lines of the flash memory

Номер патента: US20020175139A1. Автор: Chien-Wei Chen,Jiun-Ren Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-11-28.

Restoring method for inner wall member of plasma processing apparatus

Номер патента: US20240240300A1. Автор: Taku Watanabe,Tadayoshi Kawaguchi,Shoichiro Mizunashi. Владелец: Hitachi High Tech Corp. Дата публикации: 2024-07-18.

Method for fabricating a semiconductor package, semiconductor package and embedded pcb module

Номер патента: US20210313273A1. Автор: Frank Daeche,Richard Knipper. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2021-10-07.

Method for characterization of paths travelled by mobile user terminals

Номер патента: EP4324263A1. Автор: Davide Micheli,Aldo Vannelli. Владелец: Telecom Italia SpA. Дата публикации: 2024-02-21.

Switching device and method for manufacturing the same

Номер патента: US20240304665A1. Автор: Masakazu Watanabe. Владелец: Denso Corp. Дата публикации: 2024-09-12.

Contact device and arrangement and method for characterizing sub-cells

Номер патента: AU2022399187A1. Автор: Frank Schitthelm,Andreas WALTINGER. Владелец: Meyer Burger Germany GmbH. Дата публикации: 2024-05-23.

Method for etching high aspect ratio structures

Номер патента: US20240332031A1. Автор: Tong Liu,Qian Fu,FENG Qiao,Sangjun Park,Hailong Zhou,Jayoung Choi,Radhe AGARWAL. Владелец: Applied Materials Inc. Дата публикации: 2024-10-03.

Compositions and methods for masking off-notes in consumables

Номер патента: AU2021360206A9. Автор: Yuangang Zhang,Laura STIDHAM,Lisa Maria WIJNEN,Georgios Andreas KRINTIRAS. Владелец: Givaudan SA. Дата публикации: 2024-10-03.

Method for etching high aspect ratio structures

Номер патента: WO2024205690A1. Автор: Tong Liu,Qian Fu,FENG Qiao,Sangjun Park,Hailong Zhou,Jayoung Choi,Radhe AGARWAL. Владелец: Applied Materials, Inc.. Дата публикации: 2024-10-03.

Method for manufacturing silicon carbide semiconductor device

Номер патента: US09954073B2. Автор: Jun Sakakibara,Shoji Mizuno,Yuichi Takeuchi,Nozomu Akagi. Владелец: Denso Corp. Дата публикации: 2018-04-24.

Method for producing self-aligned line end vias and related device

Номер патента: US09741613B1. Автор: Lawrence A. Clevenger,John H. Zhang,Carl J. Radens. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Laminate having organic mask and method for manufacturing organic electroluminescent device using same

Номер патента: US09722181B2. Автор: Minsoo Kang,Sehwan Son,Hyunsik Park. Владелец: LG Display Co Ltd. Дата публикации: 2017-08-01.

Method for forming buried conductive line and structure of buried conductive line

Номер патента: US09653401B2. Автор: Vivek Gopalan. Владелец: Nanya Technology Corp. Дата публикации: 2017-05-16.

System and method for producing parametric maps of optoacoustic data

Номер патента: US09610043B2. Автор: Bryan Clingman,Jason Zalev. Владелец: Seno Medical Instruments Inc. Дата публикации: 2017-04-04.

Method for manufacturing nano-structured semiconductor light-emitting element

Номер патента: US09508893B2. Автор: Nam-Goo Cha,Dong-Ho Kim,Geon-Wook YOO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-11-29.

Method for selectively coating surfaces of components

Номер патента: WO1992020840A1. Автор: Alan Luther Gabrielson. Владелец: The Torrington Company. Дата публикации: 1992-11-26.

System and method for lead frame package degating

Номер патента: US20150027767A1. Автор: Varughese Mathew,Sheila F. Chopin. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2015-01-29.

Electroformed method for fabricating round mesa millimeter wave waffleline structure

Номер патента: US5192588A. Автор: Ralph D. DiStefano. Владелец: HARRIS CORP. Дата публикации: 1993-03-09.

Method for production of semiconductor device

Номер патента: US20030022433A1. Автор: Hirozaku Ejiri. Владелец: Sony Corp. Дата публикации: 2003-01-30.

Method for forming solder bumps

Номер патента: WO1995032521A1. Автор: Mark H. Baker. Владелец: National Semiconductor Corporation. Дата публикации: 1995-11-30.

Semiconductor device and a method for fabricating the same

Номер патента: US20180005897A1. Автор: Chen-Chin Liu,Meng-Han LIN,Chih-Ren Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Film forming system, method for controlling film forming system, and article manufacturing method

Номер патента: US20240343499A1. Автор: Takeshi Yamamoto. Владелец: Canon Inc. Дата публикации: 2024-10-17.

Method for producing a hydrophobic or superhydrophobic surface topography

Номер патента: US09962862B2. Автор: Oliver Espe. Владелец: HUECK RHEINISCHE GMBH. Дата публикации: 2018-05-08.

X-ray imaging apparatus and control method for the same

Номер патента: US09949710B2. Автор: Young Hun Sung,Dong Goo Kang,Seok Min Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-04-24.

Semiconductor device and a method for fabricating the same

Номер патента: US09847399B1. Автор: Zhen Yang,Chen-Chin Liu,Meng-Han LIN,Chih-Ren Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-19.

Production method for deposition mask and deposition mask

Номер патента: US09844835B2. Автор: Michinobu Mizumura. Владелец: V Technology Co Ltd. Дата публикации: 2017-12-19.

Method for forming semiconductor device

Номер патента: US09755056B2. Автор: Ying-Tsung Chen,Chao-Hung Lin,Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq,Shih-Hung Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-05.

FDSOI semiconductor structure and method for manufacturing the same

Номер патента: US09548317B2. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-01-17.

Semiconductor device and method for manufacturing the same

Номер патента: US20080111201A1. Автор: Yong Ho Oh. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-05-15.

Semiconductor device and method for manufacturing the same

Номер патента: US20130200485A1. Автор: Hiroaki Naruse. Владелец: Canon Inc. Дата публикации: 2013-08-08.

Method for forming an opening in polymer-based dielectric

Номер патента: US20020177300A1. Автор: Hsueh-Chung Chen,Chih-Chien Liu,Tong-Yu Chen,Chingfu Lin. Владелец: United Microelectronics Corp. Дата публикации: 2002-11-28.

Methods for fabricating semiconductor devices

Номер патента: US20040142569A1. Автор: Seok Kim,Chee Choi. Владелец: Individual. Дата публикации: 2004-07-22.

Method for providing a glass panel of a color display tube with suspension pins

Номер патента: EP1459348A2. Автор: Gerardus W. M. Theelen. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2004-09-22.

Low thermal budget fabrication method for a mask read only memory device

Номер патента: US20030207539A1. Автор: Jen-Chuan Pan,Shui-Chin Huang. Владелец: Macronix International Co Ltd. Дата публикации: 2003-11-06.

Fan system and method for determining type of mask

Номер патента: WO2024133181A2. Автор: Weizhong Chen,Xuan Zhang,Wei Gu,Tao Kong,Jun Gu,Guanqun Zhang. Владелец: KONINKLIJKE PHILIPS N.V.. Дата публикации: 2024-06-27.

Fan system and method for determining type of mask

Номер патента: EP4389231A1. Автор: Weizhong Chen,Xuan Zhang,Wei Gu,Tao Kong,Jun Gu,Guanqun Zhang. Владелец: Koninklijke Philips NV. Дата публикации: 2024-06-26.

Semiconductor devices and methods for manufacturing the same

Номер патента: US20140110756A1. Автор: Hao Wu,Huilong Zhu,Huicai Zhong,Qingqing Liang. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2014-04-24.

A method for deep packet inspection in software defined networks

Номер патента: EP4362403A3. Автор: Ronen Solomon,Yossi Barsheshet,Simhon DOCTORI. Владелец: Orckit IP LLC. Дата публикации: 2024-07-17.

Method for forming an opening in polymer-based dielectric

Номер патента: US20030199132A1. Автор: Hsueh-Chung Chen,Chih-Chien Liu,Tong-Yu Chen,Chingfu Lin. Владелец: Individual. Дата публикации: 2003-10-23.

Method for forming extension by using double etch spacer

Номер патента: US20020102801A1. Автор: Tao-Cheng Lu,Hung-Sui Lin,Han-Chao Lai. Владелец: Macronix International Co Ltd. Дата публикации: 2002-08-01.

Masking member, and method for coating hollow member

Номер патента: US20240198379A1. Автор: Tetsuya Ishikawa. Владелец: Sanoh Industrial Co Ltd. Дата публикации: 2024-06-20.

Method for etching features in a stack

Номер патента: WO2024178234A1. Автор: Eric Hudson,Leonid Belau. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2024-08-29.

Fan system and method for determining type of mask

Номер патента: WO2024133181A3. Автор: Weizhong Chen,Xuan Zhang,Wei Gu,Tao Kong,Jun Gu,Guanqun Zhang. Владелец: KONINKLIJKE PHILIPS N.V.. Дата публикации: 2024-08-15.

Method for forming semiconductor components having self-aligned trench contacts

Номер патента: US09660047B2. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-05-23.

Method for controlling etching in pitch doubling

Номер патента: US09564342B2. Автор: Kosuke Ogasawara. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-02-07.

Systems and methods for anchoring components in MEMS semiconductor devices

Номер патента: US09458010B1. Автор: Ruben B. Montez,Robert F. Steimle. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-10-04.

Method for manufacturing soi substrate

Номер патента: US20080242048A1. Автор: Takashi Yamada,Takeshi Hamamoto,Tetsuya Nakai,Bong Gyun Ko. Владелец: Sumco Corp. Дата публикации: 2008-10-02.

Method for Preparing Pixel Cell of CMOS Image Sensor

Номер патента: US20230073606A1. Автор: LU Wang,Cuiyu Mei. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2023-03-09.

Light emitting diode and method for manufacturing the same

Номер патента: US20130221378A1. Автор: Katsufumi Kondo,Tokuhiko Matsunaga. Владелец: Toshiba Corp. Дата публикации: 2013-08-29.

Method for dense pixel fabrication and product thereof

Номер патента: US20040124431A1. Автор: Lawrence DePaulis. Владелец: BAE Systems Information and Electronic Systems Integration Inc. Дата публикации: 2004-07-01.

Film formation apparatus, film formation method, and method for fabricating semiconductor device

Номер патента: US12112955B2. Автор: Soichi Yamazaki,Yusuke Kondo. Владелец: Kioxia Corp. Дата публикации: 2024-10-08.

Methods and devices for characterization of a coverage enhancing device

Номер патента: WO2024199779A1. Автор: Kun Zhao,Fredrik RUSEK,Jose Flordelis,Erik Lennart Bengtsson. Владелец: Sony Europe B.V.. Дата публикации: 2024-10-03.

Method for manufacturing a silicon carbide semiconductor element

Номер патента: US09761453B2. Автор: Makoto Utsumi,Yoshiyuki Sakai. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-09-12.

Method for manufacturing nanostructure semiconductor light emitting device

Номер патента: US09525102B2. Автор: Nam-Goo Cha,Geon-Wook YOO,Han-kyu SEONG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-12-20.

Systems, devices, and methods for use with aerospace parts

Номер патента: CA3118874C. Автор: Mitch FLOOD,Tyler BARNHART,Richard Paul NEWELL. Владелец: Orizon Aerostructures LLC. Дата публикации: 2024-04-16.

Means and method for structuring a substrate with a mask

Номер патента: AU2002210356A1. Автор: Gerhard Franz,Robert Kachel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2003-04-03.

DEVICES AND METHOD FOR ACCELEROMETER-BASED CHARACTERIZATION OF CARDIAC SYNCHRONY AND DYSSYNCHRONY

Номер патента: US20120004564A1. Автор: Dobak,III John Daniel. Владелец: CARDIOSYNC, INC.. Дата публикации: 2012-01-05.

System and Method for Ground Material Characterization in a Grinding System

Номер патента: US20120002037A1. Автор: Dübendorfer Urs,Heine Martin,Pierri Dario. Владелец: Buhler AG. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR CHARACTERIZING FAULT CLEARING DEVICES

Номер патента: US20120004867A1. Автор: . Владелец: ABB RESEARCH LTD.. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR POWER LINE EVENT ZONE IDENTIFICATION

Номер патента: US20120004869A1. Автор: . Владелец: ABB RESEARCH LTD.. Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

APPARATUS AND METHODS FOR ADMINISTRATION OF POSITIVE AIRWAY PRESSURE THERAPIES

Номер патента: US20120000463A1. Автор: Bordewick Steven S.,Bowman Bruce,Baser Joseph A.. Владелец: SOMNETICS GLOBAL PTE. LTD.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

SUBSTRATE PROCESSING INCLUDING A MASKING LAYER

Номер патента: US20120001320A1. Автор: Kumar Nitin,Duong Anh,Lang Chi-I,Chiang Tony P.,BOUSSIE Thomas R.,Malhotra Sandra G.,Fresco Zachary,Tong Jinhong. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

Means and Methods for Rapid Droplet, Aerosols and Swab Infection Analysis

Номер патента: US20120002199A1. Автор: Ben-David Moshe,Eruv Tomer,Gannot Gallya. Владелец: OPTICUL DIAGNOSTICS LTD.. Дата публикации: 2012-01-05.

System and Method for Improved Treatment of Sleeping Disorders using Therapeutic Positive Airway Pressure

Номер патента: US20120000466A1. Автор: Rapoport David M.. Владелец: New York University. Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

EX VIVO METHOD FOR DETERMINING POTENTIAL GLP-2 RECEPTOR MODULATIONS

Номер патента: US20120003681A1. Автор: . Владелец: NPS PHARMACEUTICALS, INC.. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120000595A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120003902A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHODS FOR CULTURING UNDIFFERENTIATED CELLS USING SUSTAINED RELEASE COMPOSITIONS

Номер патента: US20120003736A1. Автор: . Владелец: REGENERATIVE RESEARCH FOUNDATION. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

Compositions and Methods for Stimulation MAGP-1 to Improve the Appearance of Skin

Номер патента: US20120003332A1. Автор: Lyga John W.,Zheng Qian,Chen Siming W.,Santhanam Uma. Владелец: AVON PRODUCTS, INC.. Дата публикации: 2012-01-05.

SYSTEMS AND METHODS FOR ONLINE IDENTITY VERIFICATION

Номер патента: US20120002847A1. Автор: Geosimonian Armen. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR TREATING RESTLESS LEGS SYNDROME

Номер патента: US20120004301A1. Автор: Melnick Susan Marie,Taylor Duncan Paul. Владелец: SK Holdings Co., Ltd.. Дата публикации: 2012-01-05.

Solar Cell And Method For Manufacturing Solar Cell

Номер патента: US20120000512A1. Автор: HASHIMOTO Masanori,SAITO Kazuya,SHIMIZU Miho. Владелец: . Дата публикации: 2012-01-05.

ELECTRICALLY EXTENSIVELY HEATABLE, TRANSPARENT OBJECT, METHOD FOR THE PRODUCTION THEREOF, AND USE THEREOF

Номер патента: US20120000896A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

Methods and systems for imaging a mask layer

Номер патента: WO2024194260A1. Автор: Dirk Ludo Julien DE RAUW. Владелец: XSYS Prepress NV. Дата публикации: 2024-09-26.

Compositions and Methods for Treating S. Pneumoniae Infection

Номер патента: US20120003203A1. Автор: Mizrachi-Nebenzahl Yaffa,Dagan Ron. Владелец: . Дата публикации: 2012-01-05.

Analysis System And Computer Implemented Method For Analyzing Biological Samples

Номер патента: US20120004853A1. Автор: Oeltjen Lars. Владелец: ROCHE DIAGNOSTICS OPERATIONS, INC.. Дата публикации: 2012-01-05.

APPARATUS AND METHODS FOR WIRELESS CHANNEL SOUNDING

Номер патента: US20120002735A1. Автор: McCoy James W.. Владелец: . Дата публикации: 2012-01-05.