• Главная
  • Self-aligned silicide process for silicon sidewall source and drain contacts and structure formed thereby

Self-aligned silicide process for silicon sidewall source and drain contacts and structure formed thereby

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Self-aligned silicidation for replacement gate process

Номер патента: US20130092957A1. Автор: Andreas Knorr,Thorsten Kammler,Akif Sultan,Indradeep SEN. Владелец: Globalfoundries Inc. Дата публикации: 2013-04-18.

Self-aligned silicide gate for discrete shielded-gate trench power mosfet

Номер патента: US20240063305A1. Автор: Zhenyin Yang. Владелец: Analog Devices Inc. Дата публикации: 2024-02-22.

Self-aligned silicide gate for discrete shielded-gate trench power mosfet

Номер патента: EP4325583A1. Автор: Zhenyin Yang. Владелец: Analog Devices Inc. Дата публикации: 2024-02-21.

Self-aligned silicidation for replacement gate process

Номер патента: US20120018816A1. Автор: Andreas Knorr,Thorsten Kammler,Akif Sultan,Indradeep SEN. Владелец: Globalfoundries Inc. Дата публикации: 2012-01-26.

Source and drain formation in silicon on insulator device

Номер патента: WO2007072305A3. Автор: Dal Mark van,Radu Surdeanu. Владелец: Radu Surdeanu. Дата публикации: 2007-10-18.

Source and drain formation in silicon on insulator device

Номер патента: WO2007072305A2. Автор: Radu Surdeanu,Mark Van Dal. Владелец: NXP B.V.. Дата публикации: 2007-06-28.

Self-aligned silicide formation on source/drain through contact via

Номер патента: US9553189B2. Автор: Yoshihiro Uozumi. Владелец: Toshiba Corp. Дата публикации: 2017-01-24.

Semiconductor device and process for fabricating the same

Номер патента: US5897345A. Автор: Hideki Uochi. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 1999-04-27.

Self-Aligned Source and Drain Contacts

Номер патента: US20220005934A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2022-01-06.

Low resistance source drain contact formation

Номер патента: US10381442B2. Автор: Oleg Gluschenkov,Shogo Mochizuki,Zuoguang Liu,Chun-Chen Yeh,Hiroaki Niimi. Владелец: International Business Machines Corp. Дата публикации: 2019-08-13.

Low resistance source drain contact formation

Номер патента: US09972682B2. Автор: Oleg Gluschenkov,Shogo Mochizuki,Zuoguang Liu,Chun-Chen Yeh,Hiroaki Niimi. Владелец: International Business Machines Corp. Дата публикации: 2018-05-15.

Nickel silicide method and structure

Номер патента: WO2006127846A3. Автор: Rajneesh Jaiswal. Владелец: Rajneesh Jaiswal. Дата публикации: 2009-04-16.

Small geometry mos transistor with thin polycrystalline surface contacts and method for making

Номер патента: WO2008137478A3. Автор: Madhukar B Vora,Ashok Kapoor. Владелец: Ashok Kapoor. Дата публикации: 2008-12-31.

Small geometry mos transistor with thin polycrystalline surface contacts and method for making

Номер патента: WO2008137478A2. Автор: Madhukar B. Vora,Ashok Kapoor. Владелец: Dsm Solutions, Inc.. Дата публикации: 2008-11-13.

Fabrication flow based on metal gate process for making low cost flash memory

Номер патента: US09431253B1. Автор: Weidong Tian,Ning TAN. Владелец: Texas Instruments Inc. Дата публикации: 2016-08-30.

Nickel silicide method and structure

Номер патента: US20060267117A1. Автор: Rajneesh Jaiswal. Владелец: Texas Instruments Inc. Дата публикации: 2006-11-30.

Method and structure for metal gates

Номер патента: US20240363441A1. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Source/drain contacts for non-planar transistors

Номер патента: US09853156B2. Автор: Sameer S. Pradhan,Subhash M. Joshi,Jin-Sung Chun. Владелец: Intel Corp. Дата публикации: 2017-12-26.

Transistor with dopant-bearing metal in source and drain

Номер патента: US20050205896A1. Автор: Nirmal Chaudhary,Hong-Jyh Li. Владелец: Individual. Дата публикации: 2005-09-22.

MOSFETs with recessed self-aligned silicide gradual S/D junction

Номер патента: US5994747A. Автор: Shye-Lin Wu. Владелец: Texas Instruments Acer Inc. Дата публикации: 1999-11-30.

Self-aligned silicide gate technology for advanced deep submicron MOS device

Номер патента: US6239452B1. Автор: Qi Xiang,Ming-Ren Lin,Shekhar Pramanick. Владелец: Advanced Micro Devices Inc. Дата публикации: 2001-05-29.

Method of forming self-aligned silicide layers on semiconductor devices

Номер патента: US20020182860A1. Автор: Jerry Lin,Cheng-Kuo Yuan,Chi-Wei Chou. Владелец: SILICON INTEGRATED SYSTEMS CORP. Дата публикации: 2002-12-05.

Method for forming epitaxial Co self-align silicide for semiconductor device

Номер патента: US6077750A. Автор: Jeong Soo Byun,Dong Kyun Sohn. Владелец: LG Semicon Co Ltd. Дата публикации: 2000-06-20.

Transistors with Ballistic or Quasi-Ballistic Carrier Behavior and Low Resistance in Source and Drain Nodes

Номер патента: US20190334026A1. Автор: Ian Young,Raseong Kim,Uygar Avci. Владелец: Intel Corp. Дата публикации: 2019-10-31.

Forming crystalline source/drain contacts on semiconductor devices

Номер патента: WO2019066772A1. Автор: Karthik Jambunathan,Anand S. Murthy,Cory C. Bomberger,Scott J. MADDOX. Владелец: Intel Corporation. Дата публикации: 2019-04-04.

Forming crystalline source/drain contacts on semiconductor devices

Номер патента: US20200365585A1. Автор: Karthik Jambunathan,Anand S. Murthy,Cory C. Bomberger,Scott J. MADDOX. Владелец: Intel Corp. Дата публикации: 2020-11-19.

Process for forming a short channel trench MOSFET and device formed thereby

Номер патента: US09831336B2. Автор: Deva Pattanayak,Zachary Lee. Владелец: Vishay Siliconix Inc. Дата публикации: 2017-11-28.

Self-aligned silicide transistor and manufacturing method thereof

Номер патента: CN104425572A. Автор: 马万里,赵文魁,闻正锋. Владелец: Shenzhen Founder Microelectronics Co Ltd. Дата публикации: 2015-03-18.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US09922930B2. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2018-03-20.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US09559060B2. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2017-01-31.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US09437546B2. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2016-09-06.

Method of fabricating FinFET device and structure thereof

Номер патента: US09653593B2. Автор: Bartlomiej Jan Pawlak. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-16.

Method of forming stacked trench contacts and structures formed thereby

Номер патента: US20230326860A1. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2023-10-12.

Thin film transistors with raised source and drain contacts and process for forming such

Номер патента: US11908911B2. Автор: Bernhard Sell,Pei-Hua Wang,Chieh-Jen Ku. Владелец: Intel Corp. Дата публикации: 2024-02-20.

Thin film transistors with offset source and drain structures and process for forming such

Номер патента: US11929415B2. Автор: Bernhard Sell,Pei-Hua Wang,Chieh-Jen Ku,Travis W. LaJoie. Владелец: Intel Corp. Дата публикации: 2024-03-12.

Metal gate cut formed after source and drain contacts

Номер патента: US20240112916A1. Автор: Matthew J. Prince,Andrew Arnold,Reza Bayati,Alison V. DAVIS,Swapnadip Ghosh,Chun C. Kuo. Владелец: Intel Corp. Дата публикации: 2024-04-04.

Metal gate cut formed after source and drain contacts

Номер патента: EP4345869A1. Автор: Matthew Prince,Andrew Arnold,Alison Davis,Chun Kuo,Reza Bayati,Swapnadip Ghosh. Владелец: Intel Corp. Дата публикации: 2024-04-03.

Graded LDD implant process for sub-half-micron MOS devices

Номер патента: US20020190315A1. Автор: Charles Dennison,Aftab Ahmad. Владелец: Individual. Дата публикации: 2002-12-19.

Graded LDD implant process for sub-half-micron MOS devices

Номер патента: US20020182813A1. Автор: Charles Dennison,Aftab Ahmad. Владелец: Individual. Дата публикации: 2002-12-05.

Method and Structure For NFET With Embedded Silicon Carbon

Номер патента: US20090181508A1. Автор: Kern Rim,Judson R. Holt,Yaocheng Liu. Владелец: International Business Machines Corp. Дата публикации: 2009-07-16.

Solid source doping for source and drain extension doping

Номер патента: US09978649B2. Автор: Jeffrey Smith,Robert D. Clark,Steven P. CONSIGLIO. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-05-22.

Solid source doping for source and drain extension doping

Номер патента: US20170271212A1. Автор: Jeffrey Smith,Robert D. Clark,Steven P. CONSIGLIO. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-09-21.

Process and structure for a superjunction device

Номер патента: US20210242338A1. Автор: Alexei Ankoudinov,Sorin S. Georgescu. Владелец: Power Integrations Inc. Дата публикации: 2021-08-05.

Process for fabricating lightly doped drain MOS devices

Номер патента: US4744859A. Автор: Yaw W. Hu,Charles C. C. Kau. Владелец: Vitelic Corp. Дата публикации: 1988-05-17.

Contact and via structures for semiconductor devices

Номер патента: US20220336642A1. Автор: Keng-Chu Lin,Mrunal A. Khaderbad. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-10-20.

Contact and via structures for semiconductor devices

Номер патента: US20210184018A1. Автор: Keng-Chu Lin,Mrunal A. Khaderbad. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-17.

Process for forming vertical semiconductor device having increased source contact area

Номер патента: EP1067596A3. Автор: Thomas Grebs,Jason Trost. Владелец: Intersil Corp. Дата публикации: 2003-05-28.

Process for forming lightly-doped-drain (LDD) without extra masking steps

Номер патента: US4843023A. Автор: Fu-Chieh Hsu,Kuang Y. Chiu. Владелец: Hewlett Packard Co. Дата публикации: 1989-06-27.

All-in-one disposable/permanent spacer elevated source/drain, self-aligned silicide CMOS

Номер патента: US20030209765A1. Автор: Kam Lee,Ronnen Roy. Владелец: International Business Machines Corp. Дата публикации: 2003-11-13.

Merged gate and source/drain contacts in a semiconductor device

Номер патента: US09960256B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-01.

Nanosheet channel-to-source and drain isolation

Номер патента: US20200266284A1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: Tessera LLC. Дата публикации: 2020-08-20.

Nanosheet channel-to-source and drain isolation

Номер патента: US20240088268A1. Автор: Kangguo Cheng,John R. Sporre,Eric R. Miller,Marc A. Bergendahl,Fee Li LIE,Sean TEEHAN. Владелец: Tessera LLC. Дата публикации: 2024-03-14.

Manufacturing method and structure thereof of TFT backplane

Номер патента: US09735186B2. Автор: Xiaoxing Zhang. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-08-15.

Techniques for integration of ge-rich p-mos source/drain contacts

Номер патента: EP3120388A1. Автор: Nabil G. Mistkawi,Tahir Ghani,Glenn A. Glass,Anand S. Murthy,Ying Pang. Владелец: Intel Corp. Дата публикации: 2017-01-25.

Middle of the line architecture with subtractive source/drain contact

Номер патента: US20240222448A1. Автор: Nelson Felix,Eric Miller,Andrew Herbert Simon. Владелец: International Business Machines Corp. Дата публикации: 2024-07-04.

Techniques for integration of Ge-rich p-MOS source/drain contacts

Номер патента: US09859424B2. Автор: Nabil G. Mistkawi,Tahir Ghani,Glenn A. Glass,Anand S. Murthy,Ying Pang. Владелец: Intel Corp. Дата публикации: 2018-01-02.

Integrated circuit structure without gate contact and method of forming same

Номер патента: US20180061976A1. Автор: Min-Hwa Chi,Hui Zang,Manfred J. Eller,Jerome J. B. Ciavatti. Владелец: Globalfoundries Inc. Дата публикации: 2018-03-01.

Integrated circuit structure without gate contact and method of forming same

Номер патента: US09842927B1. Автор: Min-Hwa Chi,Hui Zang,Jerome J. B. Ciavatti,Manfred J Eller. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-12.

Self-aligned SOI device with body contact and NiSi2 gate

Номер патента: US6091123A. Автор: Shekhar Pramanick,Zoran Krivokapic. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-07-18.

Formation method of self-aligned silicide layer using ammonia plasma

Номер патента: KR100455367B1. Автор: 서태욱,김민. Владелец: 삼성전자주식회사. Дата публикации: 2005-01-17.

MOSFET structure with multiple self-aligned silicide contacts

Номер патента: US20060033165A1. Автор: Kern Rim,Kevin Chan,Christian Lavoie. Владелец: International Business Machines Corp. Дата публикации: 2006-02-16.

Mosfet structure with multiple self-aligned silicide contacts

Номер патента: US20100304563A1. Автор: Kern Rim,Kevin K. Chan,Christian Lavoie. Владелец: International Business Machines Corp. Дата публикации: 2010-12-02.

Method to reduce parasitic gate capacitance and structure for same

Номер патента: US09419102B1. Автор: Effendi Leobandung. Владелец: International Business Machines Corp. Дата публикации: 2016-08-16.

Gate-all-around integrated circuit structures having asymmetric source and drain contact structures

Номер патента: US11799037B2. Автор: Tahir Ghani,Mauro J. Kobrinsky,Biswajeet Guha. Владелец: Intel Corp. Дата публикации: 2023-10-24.

Method and structure for III-V nanowire tunnel FETs

Номер патента: US09548381B1. Автор: Jeffrey W. Sleight,Vijay Narayanan,Unoh Kwon,Siddarth A. Krishnan. Владелец: Globalfoundries Inc. Дата публикации: 2017-01-17.

Transistors incorporating metal quantum dots into doped source and drain regions

Номер патента: US09711649B2. Автор: John H. Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-07-18.

Nanowire structures having non-discrete source and drain regions

Номер патента: US09564522B2. Автор: Kelin J. Kuhn,Annalisa Cappellani,Stephen M. Cea,Rafael Rios,Martin D. Giles,Seiyon Kim. Владелец: Intel Corp. Дата публикации: 2017-02-07.

Source and Drain Isolation for CMOS Nanosheet with One Block Mask

Номер патента: US20190214314A1. Автор: Soon-Cheon Seo,ChoongHyun Lee,Injo OK. Владелец: International Business Machines Corp. Дата публикации: 2019-07-11.

Integrated circuit structure with backside source or drain contact selectivity

Номер патента: US20240332377A1. Автор: Mauro J. Kobrinsky,Ehren Mannebach,Shaun MILLS. Владелец: Intel Corp. Дата публикации: 2024-10-03.

Forming source/drain contact in a tight tip-to-tip space

Номер патента: US20240339509A1. Автор: Andrew M. Greene,Ruilong Xie,Julien Frougier,Andrew Gaul. Владелец: International Business Machines Corp. Дата публикации: 2024-10-10.

Method of forming self-aligned silicides

Номер патента: US20060121708A1. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2006-06-08.

Method for forming self-aligned silicide layers on sub-quarter micron VLSI circuits

Номер патента: US6100191A. Автор: Jiun-Yuan Wu,Water Lur,Tony Lin,Hsiao-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2000-08-08.

Method of manufacturing self-aligned silicide

Номер патента: US6150264A. Автор: Chih-Ching Hsu,Shu-Jen Chen,Ruoh-Haw Chang. Владелец: United Semiconductor Corp. Дата публикации: 2000-11-21.

Process for fabricating self-aligned silicide lightly doped drain mos devices

Номер патента: CA1294061C. Автор: Di Ma,David H. Hoffman. Владелец: Standard Microsystems LLC. Дата публикации: 1992-01-07.

Self-aligned silicided process and structure

Номер патента: TW594872B. Автор: Chun-Chieh Lin,Chao-Hsiung Wang,Yee-Chia Yeo,Chien-Chao Huang,Chen-Ming Hu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2004-06-21.

Forming nickel-platinum alloy self-aligned silicide contacts

Номер патента: US20140073130A1. Автор: Christian Lavoie,Ahmet S. Ozcan,David F. Hilscher. Владелец: International Business Machines Corp. Дата публикации: 2014-03-13.

Method of forming a self-aligned silicide layer in a semiconductor device

Номер патента: KR100508080B1. Автор: 김한성,김호식,우성오. Владелец: 삼성전자주식회사. Дата публикации: 2005-10-26.

Method to form self-aligned silicide with reduced sheet resistance

Номер патента: US6509264B1. Автор: Weining Li,Yung Tao Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2003-01-21.

A method to form self-aligned silicide with reduced sheet resistance

Номер патента: SG107556A1. Автор: Yung Tao Lin,Wei Ning Li. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2004-12-29.

Method for forming self-align silicide in semiconductor device

Номер патента: KR100271948B1. Автор: 이응준,서태욱,이수근,구자흠,김철성,정주혁. Владелец: 윤종용. Дата публикации: 2000-11-15.

Preventing shorting between source and/or drain contacts and gate

Номер патента: US09972620B2. Автор: Kangguo Cheng,Alexander Reznicek,Dominic J. Schepis,Charan V. Surisetty. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-15.

Protecting an integrated circuit from the drilling of a source and/or drain contact

Номер патента: US20210020663A1. Автор: Philippe Galy,Thomas Bedecarrats. Владелец: STMICROELECTRONICS SA. Дата публикации: 2021-01-21.

Transistor including wrap around source and drain contacts

Номер патента: US20190305136A1. Автор: ABHISHEK Sharma,Gilbert Dewey,Jack T. Kavalieros,Van H. Le,Sean Ma. Владелец: Intel Corp. Дата публикации: 2019-10-03.

Scaled gate contact and source/drain cap

Номер патента: US20210066464A1. Автор: Hui Zang,Ruilong Xie,Jae Gon Lee. Владелец: Globalfoundries Inc. Дата публикации: 2021-03-04.

Differential Layer Formation Processes and Structures Formed Thereby

Номер патента: US20200035679A1. Автор: Chung-Ting Ko,Chi On Chui,Jr-Hung Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-30.

Recess liner for silicon germanium fin formation

Номер патента: US09893154B2. Автор: Timothy J. McArdle,Junli Wang,Judson R. Holt. Владелец: Globalfoundries Inc. Дата публикации: 2018-02-13.

Recess liner for silicon germanium fin formation

Номер патента: US09698226B1. Автор: Timothy J. McArdle,Junli Wang,Judson R. Holt. Владелец: Globalfoundries Inc. Дата публикации: 2017-07-04.

A latch up free, high voltage, cmos process for sub-half-micron devices

Номер патента: WO1990006594A1. Автор: Joseph E. Farb. Владелец: Hughes Aircraft Company. Дата публикации: 1990-06-14.

Source and drain process for FinFET

Номер патента: US9922816B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-20.

Source and drain process for FinFET

Номер патента: US09922816B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-20.

Source and drain process for FinFET

Номер патента: US09570567B1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-14.

Source and drain epitaxy and isolation for gate structures

Номер патента: US20210288184A1. Автор: Peng Xu,Kangguo Cheng,Juntao Li,Zhenxing Bi. Владелец: International Business Machines Corp. Дата публикации: 2021-09-16.

Differential Layer Formation Processes and Structures Formed Thereby

Номер патента: US20190096888A1. Автор: Chung-Ting Ko,Chi On Chui,Jr-Hung Li. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-03-28.

Source and drain epitaxy forming method capable of improving performance of finfet device

Номер патента: US20220029003A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-01-27.

Source and drain process for FinFET

Номер патента: US11127586B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-09-21.

Method and structure to decrease area capacitance within a buried insulator device

Номер патента: US20050130379A1. Автор: Rafael Rios,Martin Giles,Borna Obradovic,Mark Stettler. Владелец: Individual. Дата публикации: 2005-06-16.

Process for integrated circuit fabrication including a liner silicide with low contact resistance

Номер патента: US09633909B2. Автор: Qing Liu,Walter Kleemeier. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-04-25.

3d high density devices integrated with source and drain rails

Номер патента: US20240071871A1. Автор: Mark I. Gardner,H. Jim Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-02-29.

Source and drain process for finfet

Номер патента: US20170194441A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-06.

Method and structure for finfet device

Номер патента: US20240178070A1. Автор: Kuo-Cheng Ching,Ying-Keung Leung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-30.

Process for the preparation of a thin film transistor

Номер патента: US5470769A. Автор: Jung J. Kim. Владелец: Gold Star Co Ltd. Дата публикации: 1995-11-28.

Process for the selective manufacturing of a T-shaped gate

Номер патента: EP1182700A3. Автор: Ying Michael Cheng,Thomas Richard Lepkowski,Costas Varmazis. Владелец: Tyco Electronics Corp. Дата публикации: 2004-05-19.

Process for integrated circuit fabrication including a liner silicide with low contact resistance

Номер патента: US20160118305A1. Автор: Qing Liu,Walter Kleemeier. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-04-28.

Transistor gate contacts and methods of forming the same

Номер патента: US12119259B2. Автор: Sai-Hooi Yeong,Chi On Chui,Kai-Hsuan LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-15.

Manufacture method of AMOLED back plate and structure thereof

Номер патента: US09947736B2. Автор: Yuanjun Hsu. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-04-17.

Contact and isolation in monolithically stacked VTFET

Номер патента: GB2628294A. Автор: Cheng Kangguo,Xie Ruilong,Zhang Chen,Yu Lan. Владелец: International Business Machines Corp. Дата публикации: 2024-09-18.

Process for making a semiconductor device

Номер патента: CA1223975A. Автор: Gustaaf Schols. Владелец: International Standard Electric Corp. Дата публикации: 1987-07-07.

Air gap spacer between contact and gate region

Номер патента: US09716158B1. Автор: Kangguo Cheng,Alexander Reznicek,Xin Miao,Nicolas Jean Loubet. Владелец: International Business Machines Corp. Дата публикации: 2017-07-25.

Method for forming a self aligned silicide contact hole

Номер патента: KR100470127B1. Автор: 김재영. Владелец: 동부아남반도체 주식회사. Дата публикации: 2005-02-05.

Self-aligned silicide process

Номер патента: US5888903A. Автор: Sean O'brien,Douglas A. Prinslow. Владелец: Texas Instruments Inc. Дата публикации: 1999-03-30.

Technologies for selective source and drain epitaxial growth

Номер патента: US20230253404A1. Автор: Mark I. Gardner,H. Jim Fulford. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-08-10.

Mos transistor structure with in-situ doped source and drain and method for forming the same

Номер патента: US20120032231A1. Автор: Lei Guo,Jing Wang,Jun Xu. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2012-02-09.

Method and structure to improve body effect and junction capacitance

Номер патента: US20120196413A1. Автор: Xiangdong Chen,Geng Wang,Da Zhang. Владелец: International Business Machines Corp. Дата публикации: 2012-08-02.

3d semiconductor device and structure with transistors

Номер патента: US20220130905A1. Автор: Zvi Or-Bach,Deepak C. Sekar. Владелец: Monolithic 3D Inc. Дата публикации: 2022-04-28.

3D semiconductor devices and structures with transistors

Номер патента: US11956976B2. Автор: Zvi Or-Bach,Deepak C. Sekar. Владелец: Monolithic 3D Inc. Дата публикации: 2024-04-09.

3d semiconductor devices and structures with transistors

Номер патента: US20230397441A1. Автор: Zvi Or-Bach,Deepak C. Sekar. Владелец: Monolithic 3D Inc. Дата публикации: 2023-12-07.

3D semiconductor devices and structures

Номер патента: US11793005B2. Автор: Zvi Or-Bach,Deepak C. Sekar. Владелец: Monolithic 3D Inc. Дата публикации: 2023-10-17.

Method for making FINFETs and semiconductor structures formed therefrom

Номер патента: US8729638B2. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2014-05-20.

Deep source and drain for transistor structures with back-side contact metallization

Номер патента: US11688780B2. Автор: Tahir Ghani,Rishabh Mehandru,Stephen Cea. Владелец: Intel Corp. Дата публикации: 2023-06-27.

Gate and source/drain contact structures for a semiconductor device

Номер патента: US20160268415A1. Автор: Ruilong Xie,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2016-09-15.

Gate and source/drain contact structures for a semiconductor device

Номер патента: US09478662B2. Автор: Ruilong Xie,Andre Labonte. Владелец: Globalfoundries Inc. Дата публикации: 2016-10-25.

N-channel clamp for ESD protection in self-aligned silicided CMOS process

Номер патента: US5262344A. Автор: Kaizad R. Mistry. Владелец: Digital Equipment Corp. Дата публикации: 1993-11-16.

N-channel clamp for esd protection in self-aligned silicided cmos process

Номер патента: CA2039777A1. Автор: Kaizad Rumy Mistry. Владелец: Digital Equipment Corp. Дата публикации: 1991-10-28.

SELF-ALIGNED SILICIDATION FOR REPLACEMENT GATE PROCESS

Номер патента: US20130092957A1. Автор: KNORR Andreas,Kammler Thorsten,Sultan Akif,SEN Indradeep. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-04-18.

SELF-ALIGNED SILICIDE FORMATION ON SOURCE/DRAIN THROUGH CONTACT VIA

Номер патента: US20150318395A1. Автор: Uozumi Yoshihiro. Владелец: . Дата публикации: 2015-11-05.

Transistor with self-aligned source and drain contacts and method of making same

Номер патента: US20170047349A1. Автор: John Hongguang Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-02-16.

Transistor with self-aligned source and drain contacts and method of making same

Номер патента: US09922993B2. Автор: John Hongguang Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-03-20.

Transistor with self-aligned source and drain contacts and method of making same

Номер патента: US09496283B1. Автор: John Hongguang Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2016-11-15.

Method for forming thickened source/drain contact regions for field effect transistors

Номер патента: US5250454A. Автор: Witold P. Maszara. Владелец: AlliedSignal Inc. Дата публикации: 1993-10-05.

Transistor with self-aligned source and drain contacts and method of making same

Номер патента: US20180166469A1. Автор: John Hongguang Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2018-06-14.

Methods of forming field effect transistors having self-aligned intermediate source and drain contacts

Номер патента: US6162690A. Автор: Kang-yoon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-12-19.

Process for producing a self-aligned grid field-effect transistor

Номер патента: US4326330A. Автор: Henri Derewonko,Joel Lepage,Michel Laviron. Владелец: Thomson CSF SA. Дата публикации: 1982-04-27.

Butted Source Contact and Well Strap

Номер патента: US20090286395A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-11-19.

Method and structure for metal gates

Номер патента: US12100627B2. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Self-aligned fabrication process for gaas mesfet devices

Номер патента: CA1252226A. Автор: John R. Hayes. Владелец: Bell Communications Research Inc. Дата публикации: 1989-04-04.

Transistor arrangements with stacked trench contacts and gate straps

Номер патента: EP4016599A1. Автор: Guillaume Bouche,Charles Wallace,Andy Wei,Changyok Park,Hyuk RYU,Mohit HARAN. Владелец: Intel Corp. Дата публикации: 2022-06-22.

Dual salicide integration for salicide through trench contacts and structures formed thereby

Номер патента: US20100164002A1. Автор: Bernhard Sell,Oleg Golonzka. Владелец: Intel Corp. Дата публикации: 2010-07-01.

Structure for reduced source and drain contact to gate stack capacitance

Номер патента: US09601570B1. Автор: Carl J Radens,Richard Q Williams. Владелец: International Business Machines Corp. Дата публикации: 2017-03-21.

Method and structure for forming silicon germanium finfet

Номер патента: US20190206999A1. Автор: Peng Xu,Kangguo Cheng,Heng Wu,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2019-07-04.

Method and structure for forming silicon germanium finfet

Номер патента: US20190333996A1. Автор: Peng Xu,Kangguo Cheng,Heng Wu,Juntao Li. Владелец: International Business Machines Corp. Дата публикации: 2019-10-31.

Method for forming a field-effect transistor having difference in capacitance between source and drain with respect to shield layer

Номер патента: US5891757A. Автор: Yasuo Ohno. Владелец: NEC Corp. Дата публикации: 1999-04-06.

Epitaxial formation mechanisms of source and drain regions

Номер патента: US09502404B2. Автор: Chun Hsiung Tsai,Meng-Yueh Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Epitaxial Formation Mechanisms of Source and Drain Regions

Номер патента: US20150076621A1. Автор: Chun Hsiung Tsai,Meng-Yueh Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-03-19.

Threshold adjustment for quantum dot array devices with metal source and drain

Номер патента: US09748356B2. Автор: John H. Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-08-29.

Self-aligned cmos process for bulk silicon device

Номер патента: GB1529297A. Автор: . Владелец: National Semiconductor Corp. Дата публикации: 1978-10-18.

Threshold adjustment for quantum dot array devices with metal source and drain

Номер патента: US20220140110A1. Автор: John H. Zhang. Владелец: STMicroelectronics lnc USA. Дата публикации: 2022-05-05.

Method for forming silicide at source and drain

Номер патента: US20040106282A1. Автор: Jeng-Ping Lin,Kuo-Chien Wu. Владелец: Nanya Technology Corp. Дата публикации: 2004-06-03.

Method for forming silicide at source and drain

Номер патента: US6743717B1. Автор: Jeng-Ping Lin,Kuo-Chien Wu. Владелец: Nanya Technology Corp. Дата публикации: 2004-06-01.

Epitaxial source and drain structures for high voltage devices

Номер патента: US20190096887A1. Автор: Kong-Beng Thei,Chien-Chih Chou,Yi-huan Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-03-28.

Self-aligned CMOS process for bulk silicon and insulating substrate device

Номер патента: US4047284A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1977-09-13.

METHOD FOR MANUFACTURING A SELF-ALIGNED SILICIDE

Номер патента: FR2763743B1. Автор: Water Lur,Shih Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 1999-07-23.

METHOD FOR MANUFACTURING A SELF-ALIGNED SILICIDE

Номер патента: FR2763743A1. Автор: Water Lur,Shih Wei Sun,Tony Lin. Владелец: United Microelectronics Corp. Дата публикации: 1998-11-27.

Nonvolatile semiconductor memory device and process for same

Номер патента: US20020089002A1. Автор: Satoshi Shimizu. Владелец: Mitsubishi Electric Corp. Дата публикации: 2002-07-11.

Self-aligned silicide strap connection of polysilicon layers

Номер патента: US5756394A. Автор: H. Monte Manning. Владелец: Micron Technology Inc. Дата публикации: 1998-05-26.

Semiconductor memory device and structure

Номер патента: US09953994B2. Автор: Zvi Or-Bach,Jin-Woo Han. Владелец: Monolithic 3D Inc. Дата публикации: 2018-04-24.

Process for making bipolar transistor with polysilicon stringer base contact

Номер патента: US5063168A. Автор: Madhukar B. Vora. Владелец: National Semiconductor Corp. Дата публикации: 1991-11-05.

Asymmetric, double-sided self-aligned silicide and method of forming the same

Номер патента: US20030129831A1. Автор: Yongjun Hu. Владелец: Individual. Дата публикации: 2003-07-10.

A high electron mobility transistor with source and drain electrodes below the channel

Номер патента: WO2023100058A1. Автор: Peter Mueller,Thomas Morf,Eunjung Cha,Bogdan ZOTA. Владелец: IBM Deutschland GmbH. Дата публикации: 2023-06-08.

Selective silicide process

Номер патента: US20110269310A1. Автор: Ivo Raaijmakers. Владелец: ASM International NV. Дата публикации: 2011-11-03.

Self-aligned silicide process in forming semiconductor sidewalls

Номер патента: WO1990001795A1. Автор: Tyler A. Lowrey,Clifford A. Maxwell. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 1990-02-22.

Method of forming self-aligned silicides

Номер патента: TWI310211B. Автор: Steven Huang,Yeihsiung Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2009-05-21.

IMPROVED SELF-ALIGNED SILICIDE PROCESS

Номер патента: FR2752331B1. Автор: Jason Jenq,Tung Po Chen. Владелец: United Microelectronics Corp. Дата публикации: 1998-11-06.

Method of precision resistor in self-aligned silicided mos process

Номер патента: KR940002390B1. Автор: 케이. 에이. 제터룬드 번. Владелец: 디지털 이큅먼트 코오포레이숀. Дата публикации: 1994-03-24.

Contactless local interconnect process utilizing self-aligned silicide

Номер патента: GB0214919D0. Автор: . Владелец: Agere Systems Guardian Corp. Дата публикации: 2002-08-07.

Method of manufacturing self-aligned silicide

Номер патента: TW366528B. Автор: Shu-Jen Chen,Ruoh-Haw Chang,Jr-Ching Shiu. Владелец: United Microelectronics Corp. Дата публикации: 1999-08-11.

Eliminating buried contact trench in MOSFET devices having self-aligned silicide

Номер патента: US6211556B1. Автор: Shye-Lin Wu. Владелец: Texas Instruments Acer Inc. Дата публикации: 2001-04-03.

Contactless local interconnect process utilizing self- aligned silicide

Номер патента: JP2003086680A. Автор: Seungmoo Choi,チョイ セウングムー. Владелец: Agere Systems Guardian Corp. Дата публикации: 2003-03-20.

Method of forming self-aligned silicides

Номер патента: TW200620405A. Автор: Steven Huang,Yei-Hsiung Lin. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-06-16.

The manufacturing process of self-aligned silicide, CMP, self-aligned silicide semiconductor

Номер патента: TW324836B. Автор: Sy-Miin Lin. Владелец: Winbond Electronics Corp. Дата публикации: 1998-01-11.

Asymmetric gate contact over source/drain contact

Номер патента: US20240332182A1. Автор: Ruilong Xie,Chanro Park,Yann Mignot,Shahab Siddiqui. Владелец: International Business Machines Corp. Дата публикации: 2024-10-03.

Method of fabricating a MOS transistor using a self-aligned silicide technique

Номер патента: TW501236B. Автор: Hyung-Shin Kwon,Do-hyeong Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2002-09-01.

Metallizing process and structure for semiconductor devices

Номер патента: US4965173A. Автор: Herbert J. Gould. Владелец: International Rectifier Corp USA. Дата публикации: 1990-10-23.

Method of forming source/drain contact

Номер патента: US9799567B2. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Method of Forming Source/Drain Contact

Номер патента: US20160118303A1. Автор: Hung-Chang Hsieh,Yu-Hsien Lin,Ming-Jhih Kuo,Jhun Hua CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-04-28.

Titanium silicide formation in a narrow source-drain contact

Номер патента: US09779987B2. Автор: Min Gyu Sung,Hiroaki Niimi,Kwanyong LIM. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-03.

Method of concurrently forming source/drain and gate contacts and related device

Номер патента: US09837402B1. Автор: CHENG Chi,Ruilong Xie. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-05.

Fabrication method and structure of semiconductor non-volatile memory device

Номер патента: US20120086070A1. Автор: Kan Yasui,Shinichiro Kimura,Digh Hisamoto,Nozomu Matsuzaki. Владелец: Individual. Дата публикации: 2012-04-12.

Semiconductor device structures formed by ion-assisted oxidation

Номер патента: US7049664B2. Автор: Li Li,Pai-Hung Pan. Владелец: Micron Technology Inc. Дата публикации: 2006-05-23.

Method of post-deposition treatment for silicon oxide film

Номер патента: US12100588B2. Автор: Toshiya Suzuki. Владелец: ASM IP Holding BV. Дата публикации: 2024-09-24.

Integrated circuit and process for fabricating thereof

Номер патента: US09941158B2. Автор: Charan Gurumurthy,Islam Salama,Houssam Jomaa,Ravi Tanikella. Владелец: Intel Corp. Дата публикации: 2018-04-10.

Method of post-deposition treatment for silicon oxide film

Номер патента: US20230343587A1. Автор: Toshiya Suzuki. Владелец: ASM IP Holding BV. Дата публикации: 2023-10-26.

Jfet device with virtual source and drain link regions and method of fabrication

Номер патента: WO2008137294A1. Автор: Ashok K. Kapoor,Samar K. Saha. Владелец: Dsm Solutions, Inc.. Дата публикации: 2008-11-13.

HEMT with epitaxial narrow bandgap source/drain contacts isolated from wide bandgap layer

Номер патента: US4714948A. Автор: Takashi Mimura,Satoshi Hiyamizu. Владелец: Fujitsu Ltd. Дата публикации: 1987-12-22.

Manufacturing method of self-aligned silicide load for static random access memory

Номер патента: US5854103A. Автор: Kuei-Chang Liang. Владелец: Winbond Electronics Corp. Дата публикации: 1998-12-29.

Self-aligned silicide (salicide) process for electrostatic discharge (ESD) protection

Номер патента: US5946573A. Автор: Chen-Chung Hsu. Владелец: United Microelectronics Corp. Дата публикации: 1999-08-31.

Process for patterning local interconnects

Номер патента: US4657628A. Автор: Che-Chia Wei,Roger A. Haken,Thomas C. Holloway,David A. Bell,Thomas E. Tang. Владелец: Texas Instruments Inc. Дата публикации: 1987-04-14.

Semiconductor device with self aligned silicide layer and method for forming the same

Номер патента: KR20020048618A. Автор: 김진호. Владелец: 윤종용. Дата публикации: 2002-06-24.

Integration circuit with self-aligned silicided ESD protection transistors

Номер патента: KR100369361B1. Автор: 정종척. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-01-30.

Method of forming self align silicide in semiconductor device

Номер патента: KR100953489B1. Автор: 강양범. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2010-04-19.

Manufacturing method of self-aligned silicide

Номер патента: TW428231B. Автор: Shu-Ren Chen. Владелец: United Microelectronics Corp. Дата публикации: 2001-04-01.

Methods of forming memory cells having self-aligned silicide

Номер патента: US6939764B2. Автор: Chun Chen,Graham Wolstenholme. Владелец: Micron Technology Inc. Дата публикации: 2005-09-06.

The manufacturing method on self-aligned silicide barrier layer

Номер патента: CN106024622B. Автор: 陈宏�. Владелец: Shanghai Huahong Grace Semiconductor Manufacturing Corp. Дата публикации: 2019-04-19.

Self-aligned silicide for word lines and contacts

Номер патента: US20050242390A1. Автор: Chun Chen,Graham Wolstenholme. Владелец: Micron Technology Inc. Дата публикации: 2005-11-03.

Method and structure for reducing resistance in integrated circuits

Номер патента: US4885627A. Автор: Nathen P. Edwards. Владелец: International Business Machines Corp. Дата публикации: 1989-12-05.

Structure and process for millimetric wave sources integrated in a radial waveguide

Номер патента: US4126932A. Автор: Gerard Cachier. Владелец: Thomson CSF SA. Дата публикации: 1978-11-28.

Isolation structure in a semiconductor device processes and structures

Номер патента: US20140264721A1. Автор: Guo-Yu LAN. Владелец: Macronix International Co Ltd. Дата публикации: 2014-09-18.

Method and structure for implanting bonded substrates for electrical conductivity

Номер патента: WO2006058076A2. Автор: Francois J. Henley. Владелец: Silicon Genesis Corporation. Дата публикации: 2006-06-01.

SELF-ALIGNED SILICIDE FORMATION ON SOURCE/DRAIN THROUGH CONTACT VIA

Номер патента: US20130092988A1. Автор: Uozumi Yoshihiro. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2013-04-18.

Method for producing self-aligned silicide MOSFET

Номер патента: TW393691B. Автор: Shie-Lin Wu. Владелец: Tsmc Acer Semiconductor Mfg Co. Дата публикации: 2000-06-11.

Image sensor having self-aligned silicide layer

Номер патента: TW416155B. Автор: Sang-Hoon Park. Владелец: Hyundai Electronics Ind. Дата публикации: 2000-12-21.

Methods of forming buried vertical capacitors and structures formed thereby

Номер патента: US09818751B2. Автор: Patrick Morrow,Kimin Jun,Rajashree Baskaran. Владелец: Intel Corp. Дата публикации: 2017-11-14.

Methods of forming buried vertical capacitors and structures formed thereby

Номер патента: US09646972B2. Автор: Patrick Morrow,Kimin Jun,Rajashree Baskaran. Владелец: Intel Corp. Дата публикации: 2017-05-09.

Diode structure compatible with silicide processes for ESD protection

Номер патента: US6297536B2. Автор: Ta-Lee Yu. Владелец: Winbond Electronics Corp. Дата публикации: 2001-10-02.

Method of forming extruded structures from polycrystalline materials and devices formed thereby

Номер патента: US20020179201A1. Автор: Lawrence Clevenger,Munir Naeem. Владелец: Individual. Дата публикации: 2002-12-05.

Method for fabricating a semiconductor transistor and structure thereof

Номер патента: US5278441A. Автор: Young-Tae Kang,Rae-Ku Kang,Byoung-Hyeok Nho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1994-01-11.

Methods of forming C4 round dimple metal stud bumps for fine pitch packaging applications and structures formed thereby

Номер патента: US7952203B2. Автор: Chi-Won Hwang. Владелец: Intel Corp. Дата публикации: 2011-05-31.

Methods of forming C4 metal stud bump for fine pitch packaging applications and structures formed thereby

Номер патента: US20100052159A1. Автор: Chi-Won Hwang. Владелец: Intel Corp. Дата публикации: 2010-03-04.

Method of forming nano-scale structures from polycrystalline materials and nano-scale structures formed thereby

Номер патента: MY120870A. Автор: Lawrence A Clevenger,Munir D Naeem. Владелец: Ibm. Дата публикации: 2005-11-30.

Method and structure to contact tight pitch conductive layers with guided vias

Номер патента: US09659860B2. Автор: Richard E. Schenker,Elliot N. Tan. Владелец: Intel Corp. Дата публикации: 2017-05-23.

Polycide local interconnect method and structure

Номер патента: US5294822A. Автор: Douglas P. Verrett. Владелец: Texas Instruments Inc. Дата публикации: 1994-03-15.

Process for fabricating a complementary MIS transistor

Номер патента: US5532176A. Автор: Tadashi Hattori,Hidetoshi Muramoto,Mitsutaka Katada,Katsunori Abe,Seizi Fuzino. Владелец: NipponDenso Co Ltd. Дата публикации: 1996-07-02.

Epitaxial growth method for silicon carbide

Номер патента: US20180266012A1. Автор: Wataru Ito,Tatsuo Fujimoto,Takashi Aigo. Владелец: Showa Denko KK. Дата публикации: 2018-09-20.

Wire bond mold lock method and structure

Номер патента: US09685351B2. Автор: Leo M. Higgins, III. Владелец: NXP USA Inc. Дата публикации: 2017-06-20.

Fabrication process for aligned and stacked CMOS devices

Номер патента: US4654121A. Автор: Nicholas J. Szluk,Gayle W. Miller,William W. Mckinley,George Maheras,Hubert O. Hayworth. Владелец: NCR Corp. Дата публикации: 1987-03-31.

Method and structure of middle layer removal

Номер патента: US20200266065A1. Автор: Chia-Wei Wu,Neng-Jye Yang,Chun-Li Chou,Nai-Chia Chen,Wan Hsuan Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-20.

Etching gas for silicon etch back

Номер патента: US20020066884A1. Автор: Ray Lee,Nien-Yu Tsai,Te-Hsun Pang,Mu-Tsun Ting. Владелец: Promos Technologies Inc. Дата публикации: 2002-06-06.

Loading and unloading system for silicon wafer

Номер патента: US20240178030A1. Автор: Huan ZHOU,Xiang Shi,Jiaji Lin. Владелец: Laplace Wuxi Semiconductor Technology Co Ltd. Дата публикации: 2024-05-30.

Process for making complementary transistors

Номер патента: CA1191973A. Автор: Donald M. Kenney,Peter E. Cottrell,Henry J. Geipel, Jr.. Владелец: International Business Machines Corp. Дата публикации: 1985-08-13.

Epitaxial growth method for silicon carbide

Номер патента: US10435813B2. Автор: Wataru Ito,Tatsuo Fujimoto,Takashi Aigo. Владелец: Showa Denko KK. Дата публикации: 2019-10-08.

Etching method for silicon nitride and production method for semiconductor element

Номер патента: US12014929B2. Автор: Kazuma Matsui. Владелец: Resonac Corp. Дата публикации: 2024-06-18.

Low resistance semiconductor process and structures

Номер патента: US20030082907A1. Автор: Kunal Parekh,Michael Hermes. Владелец: Individual. Дата публикации: 2003-05-01.

Process for fabricating integrated circuits having shallow junctions

Номер патента: CA1314631C. Автор: Ronald Joseph Schutz,Nadia Lifshitz. Владелец: American Telephone and Telegraph Co Inc. Дата публикации: 1993-03-16.

Heat treatment jig and heat treatment method for silicon wafer

Номер патента: US20090127746A1. Автор: Takeshi Hasegawa,Hiroyuki Shiraki,Yoshinobu Nakada. Владелец: Sumco Corp. Дата публикации: 2009-05-21.

Process for manufacturing CMOS device

Номер патента: US5747368A. Автор: Ching-Nan Yang,Li-Chun Peng. Владелец: Mosel Vitelic Inc. Дата публикации: 1998-05-05.

Process and structure of a modified T-shaped capacitor having a rough top surface

Номер патента: US6037624A. Автор: Wei-Shang King. Владелец: Mosel Vitelic Inc. Дата публикации: 2000-03-14.

Photoelectric conversion device and process for its fabrication

Номер патента: EP1075028A3. Автор: Hiroshi C/O Canon Kabushiki Kaisha Yuzurihara. Владелец: Canon Inc. Дата публикации: 2008-06-04.

Flip-chip field effect transistor layouts and structures

Номер патента: US20240266348A1. Автор: Scott Sheppard,Jeremy Fisher,Fabian Radulescu,Qianli MU,Basim Noori,Dan Namishia. Владелец: Wolfspeed Inc. Дата публикации: 2024-08-08.

Method and structure for providing ESD protection for silicon on insulator integrated circuits

Номер патента: US5610790A. Автор: David R. Staab,Sheau-Suey Li. Владелец: Xilinx Inc. Дата публикации: 1997-03-11.

Process and structure of an integrated vacuum microelectronic device.

Номер патента: MY106893A. Автор: Michael Zimmerman Steven. Владелец: Ibm. Дата публикации: 1995-08-30.

Low voltage avalanche photodiode with re-entrant mirror for silicon based photonic integrated circuits

Номер патента: EP2973729A1. Автор: Ansheng Liu,Han-Din D. Liu,Yamin Kang. Владелец: Intel IP Corp. Дата публикации: 2016-01-20.

Methods and structures to facilitate through-silicon vias

Номер патента: US09431320B2. Автор: Rodrigo Carrillo-Ramirez. Владелец: Analog Devices Inc. Дата публикации: 2016-08-30.

Methods and structures to facilitate through-silicon vias

Номер патента: WO2014149274A1. Автор: Rodrigo Carrillo-Ramirez. Владелец: ANALOG DEVICES, INC.. Дата публикации: 2014-09-25.

Metal-free frame design for silicon bridges for semiconductor packages

Номер патента: US12074121B2. Автор: Sujit Sharan,Dae-woo Kim,Sairam Agraharam. Владелец: Intel Corp. Дата публикации: 2024-08-27.

Active layer for silicon light-emitting devices and method for manufacturing the same

Номер патента: US20120119185A1. Автор: Hideo Iwase. Владелец: Canon Inc. Дата публикации: 2012-05-17.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Radiation Source and Method for the Operation Thereof

Номер патента: US20160013409A1. Автор: Alexander Burenkov. Владелец: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV. Дата публикации: 2016-01-14.

Radiation source and method for the operation thereof

Номер патента: US9812642B2. Автор: Alexander Burenkov. Владелец: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV. Дата публикации: 2017-11-07.

Process for silicon nanowire-graphene hybrid mat

Номер патента: US09755225B2. Автор: Aruna Zhamu,Bor Z. Jang,Qing Fang. Владелец: Nanotek Instruments Inc. Дата публикации: 2017-09-05.

System and method for silicon material manufacturing

Номер патента: WO2024129683A1. Автор: Robert C. IONESCU,Chueh Liu LIU. Владелец: Ionobell, Inc. Дата публикации: 2024-06-20.

System and method for silicon material manufacturing

Номер патента: US20240109779A1. Автор: Robert C. IONESCU,Chueh LIU. Владелец: Ionobell Inc. Дата публикации: 2024-04-04.

Process for producing silicon nanowires directly from silicon particles

Номер патента: US09774033B2. Автор: Aruna Zhamu,Bor Z. Jang,Qing Fang. Владелец: Nanotek Instruments Inc. Дата публикации: 2017-09-26.

Conformal metallization process for the fabrication of semiconductor laser devices

Номер патента: US20130163631A1. Автор: Jia-Sheng Huang,Phong Thai. Владелец: Emcore Corp. Дата публикации: 2013-06-27.

Method and system for water soluble weak acidic resins as carbon precursors for silicon-dominant anodes

Номер патента: US20240290943A1. Автор: Benjamin Park,Younes Ansari,Liwen Ji. Владелец: Enevate Corp. Дата публикации: 2024-08-29.

Method and system for water soluble weak acidic resins as carbon precursors for silicon-dominant anodes

Номер патента: US20220140314A1. Автор: Benjamin Park,Younes Ansari,Liwen Ji. Владелец: Enevate Corp. Дата публикации: 2022-05-05.

Method and system for water soluble weak acidic resins as carbon precursors for silicon-dominant anodes

Номер патента: US11699786B2. Автор: Benjamin Park,Younes Ansari,Liwen Ji. Владелец: Enevate Corp. Дата публикации: 2023-07-11.

Method and system for water soluble weak acidic resins as carbon precursors for silicon-dominant anodes

Номер патента: US12113200B2. Автор: Benjamin Park,Younes Ansari,Liwen Ji. Владелец: Enevate Corp. Дата публикации: 2024-10-08.

Light source and ts manufacturing process

Номер патента: RU2260226C2. Автор: Йорг АРНОЛЬД,Йорг АРНОЛЬД (DE). Владелец: Ип2Х Аг. Дата публикации: 2005-09-10.

Energy-efficient pyrometallurgical process for treating li-ion batteries

Номер патента: US20240258597A1. Автор: Lennart SCHEUNIS. Владелец: Umicore NV SA. Дата публикации: 2024-08-01.

Aqueous based polymers for silicon anodes

Номер патента: US20230275230A1. Автор: Qing Zhang,Benjamin Yong Park,Younes Ansari,Anil Malhotra,Ambica Nair. Владелец: Enevate Corp. Дата публикации: 2023-08-31.

Method and system for pulverization mitigation additives for silicon dominant anodes

Номер патента: US20230352653A1. Автор: Benjamin Park. Владелец: Enevate Corp. Дата публикации: 2023-11-02.

Antenna housing and structure for antenna housing

Номер патента: US20210091449A1. Автор: Stefan Weber,Eckart STUIBLE. Владелец: Telefonaktiebolaget LM Ericsson AB. Дата публикации: 2021-03-25.

Method and system for water soluble weak acidic resins as carbon precursors for silicon-dominant anodes

Номер патента: US11923531B2. Автор: Benjamin Park,Younes Ansari,Liwen Ji. Владелец: Enevate Corp. Дата публикации: 2024-03-05.

Method and system for water based phenolic binders for silicon-dominant anodes

Номер патента: WO2022010670A1. Автор: Benjamin Park,Younes Ansari,Liwen Ji,Sanjaya D. Perera. Владелец: ENEVATE CORPORATION. Дата публикации: 2022-01-13.

Processes for making niobium-based electrode materials

Номер патента: US12021231B2. Автор: Konstantinos Gerasopoulos,Dajie Zhang,Matthew W. Logan. Владелец: JOHNS HOPKINS UNIVERSITY. Дата публикации: 2024-06-25.

Processes for making niobium-based electrode materials

Номер патента: US12015150B2. Автор: Konstantinos Gerasopoulos,Dajie Zhang,Matthew W. Logan. Владелец: JOHNS HOPKINS UNIVERSITY. Дата публикации: 2024-06-18.

Processes for making niobium-based electrode materials

Номер патента: US20230299279A1. Автор: Konstantinos Gerasopoulos,Dajie Zhang,Matthew W. Logan. Владелец: JOHNS HOPKINS UNIVERSITY. Дата публикации: 2023-09-21.

Thermal evaporation process for manufacture of solid state battery devices

Номер патента: US09631269B2. Автор: Ann Marie Sastry,Marc Langlois,Hyoncheol KIM,Myoungdo Chung. Владелец: Sakti3 Inc. Дата публикации: 2017-04-25.

Process for the preparation of neuraminidase from viral sources and methods of utilizing same

Номер патента: US4136168A. Автор: Robert Fontanges. Владелец: Science Union et Cie. Дата публикации: 1979-01-23.

Surface acoustic wave RFID sensor for material and structure sensing

Номер патента: US10523181B2. Автор: Ayal Ram. Владелец: Epitronic Holdings Pte Ltd. Дата публикации: 2019-12-31.

Organic thin film transistor and process for manufacturing same

Номер патента: US7598116B2. Автор: Roberta Cuozzo,Anna Morra,Teresa Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2009-10-06.

Process for fabricating electrically alterable floating gate memory devices

Номер патента: US4780424A. Автор: Simon M. Tam,Mark A. Holler. Владелец: Intel Corp. Дата публикации: 1988-10-25.

Method and system for cwdm mux/demux designs for silicon photonics interposers

Номер патента: EP3864776A1. Автор: Subal Sahni. Владелец: Luxtera LLC. Дата публикации: 2021-08-18.

Method of manufacturing a memory FET with shorted source and drain region

Номер патента: US4608748A. Автор: Hideo Noguchi,Tugunari Iwamoto. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1986-09-02.

Selective segment via plating process and structure

Номер патента: US09867290B2. Автор: Pui Yin Yu,Kwan Pen. Владелец: Multek Technologies Ltd. Дата публикации: 2018-01-09.

Selective segment via plating process and structure

Номер патента: US09763327B2. Автор: Pui Yin Yu,Kwan Pen. Владелец: Multek Technologies Ltd. Дата публикации: 2017-09-12.

Method and system for CWDM MUX/DEMUX designs for silicon photonics interposers

Номер патента: US10917190B2. Автор: Subal Sahni. Владелец: Luxtera LLC. Дата публикации: 2021-02-09.

Circuit and structure having high input impedance and DC return

Номер патента: US4050031A. Автор: Paul R. Gray,Mark L. Stephens. Владелец: Signetics Corp. Дата публикации: 1977-09-20.

Protection of conductive connection by electrophoresis coating and structure formed thereof

Номер патента: WO2002089543A1. Автор: Alfred I-Tsung Pan. Владелец: Hewlett-Packard Company. Дата публикации: 2002-11-07.

Protection of conductive connection by electrophoresis coating and structure formed thereof

Номер патента: EP1382232A1. Автор: Alfred I-Tsung Pan. Владелец: Hewlett Packard Co. Дата публикации: 2004-01-21.

Process for manufacturing a plasma source, and plasma source obtained from this manufacturing process

Номер патента: WO2012101472A1. Автор: Peter Choi. Владелец: Nano-Uv. Дата публикации: 2012-08-02.

Provisioning and streaming media to wireless speakers from fixed and mobile media sources and clients

Номер патента: WO2008011384A3. Автор: Ravi Rajapakse. Владелец: Blackfire Res Corp. Дата публикации: 2008-04-24.

Process for gas-heated reforming of a hydrocarbon source and a related plant

Номер патента: US20150132200A1. Автор: Enrico Rizzi,Gabriele Germani. Владелец: Casale SA. Дата публикации: 2015-05-14.

Microporous membranes having increased pore densities and process for making the same

Номер патента: US5013439A. Автор: Harold M. Fisher,Daniel E. Leone,James J. Lowery. Владелец: Hoechst Celanese Corp. Дата публикации: 1991-05-07.

PROCESS FOR GAS-HEATED REFORMING OF A HYDROCARBON SOURCE AND A RELATED PLANT

Номер патента: US20150132200A1. Автор: Rizzi Enrico,Germani Gabriele. Владелец: Casale SA. Дата публикации: 2015-05-14.

Process for the preparation of polymetallo(disily) silazane polymers and the polymers therefrom

Номер патента: CA1246281A. Автор: Loren A. Haluska. Владелец: Dow Corning Corp. Дата публикации: 1988-12-06.

Integrated pressure sensor with remote power source and remote read-out

Номер патента: US5610340A. Автор: William N. Carr,Subramanyam Chamarti. Владелец: NEW JERSEY INSTITUTE OF TECHNOLOGY. Дата публикации: 1997-03-11.

Test and drain valve with integrated relief valve

Номер патента: AU2021309188A1. Автор: Krishnaswamy Manikandan,James O. Williams,Normand James Roy,Joshua MOTHA. Владелец: Tyco Fire Products LP. Дата публикации: 2022-12-01.

Shell element, shell structure and structure forming set

Номер патента: US20190093375A1. Автор: Keisuke Kitagawa. Владелец: Jinzaikaihatsu-Sienkikou Co Ltd. Дата публикации: 2019-03-28.

Primer for silicone rubber compositions and elastomeric materials

Номер патента: EP3963018A1. Автор: Patrick Beyer,Roman Vanecek. Владелец: Dow Silicones Corp. Дата публикации: 2022-03-09.

Hydrophilic silica as filler for silicone rubber formulations

Номер патента: US09725326B2. Автор: Michael Kempf,Gottlieb-Georg Lindner. Владелец: EVONIK DEGUSSA GmbH. Дата публикации: 2017-08-08.

Process for forming a graded index optical material and structures formed thereby

Номер патента: US4545646A. Автор: Ronald T. Smith,Mao-Jin Chern,John W. Peters. Владелец: Hughes Aircraft Co. Дата публикации: 1985-10-08.

Process for recovering and improving production of meso-lactide from a crude lactide containing stream

Номер патента: US09573925B2. Автор: Denis Mignon,Philippe Coszach. Владелец: Futerro SA. Дата публикации: 2017-02-21.

Crystallisation pan for silicon crystallisation

Номер патента: RU2355832C2. Автор: Жильбер РАНКУЛЬ. Владелец: Везувиус Крусибл Компани. Дата публикации: 2009-05-20.

Steam generation and drain system for modular oven

Номер патента: EP4330602A1. Автор: Philip R. Mckee,Lee Thomas Vanlanen,Jeff Maddox,Craig Douglas Burnett. Владелец: Alto Shaam Inc. Дата публикации: 2024-03-06.

Apparatus and process for manufacturing tyres for vehicle wheels

Номер патента: US09616628B2. Автор: Gianni Mancini,Gaetano Lo Presti,Maurizio Marchini. Владелец: Pirelli Tyre SpA. Дата публикации: 2017-04-11.

Cutting structures and structures for retaining the same

Номер патента: US09482058B2. Автор: Michael A. Siracki. Владелец: Smith International Inc. Дата публикации: 2016-11-01.

A Method for Silicon Carbide Slip Casting and Sintering

Номер патента: LU102184B1. Автор: Changqing Li,Yansong Li,Baoliang Liu. Владелец: Univ Guangdong Petrochem Tech. Дата публикации: 2021-05-12.

Sink and drain for sink

Номер патента: US20200248441A1. Автор: Shawn Daly,Christopher Waas,Erik Lynch,Robert Zudic,Jonathan Chee Yeen Chong,Daniel Keating Childs. Владелец: Elkay Manufacturing Co. Дата публикации: 2020-08-06.

Sink and drain for sink

Номер патента: US09783968B2. Автор: Shawn Daly,Jonathan Chee Yeen Chong,Daniel Keating Childs. Владелец: Elkay Manufacturing Co. Дата публикации: 2017-10-10.

Nozzle for silicon container

Номер патента: US09744554B2. Автор: Sunhee Kim. Владелец: Individual. Дата публикации: 2017-08-29.

Fill and drain fittings for a hydroponic flood table

Номер патента: US09717192B1. Автор: Michael Danner,Steven Sawicki. Владелец: Eugene G Danner Manufacturing Inc. Дата публикации: 2017-08-01.

Water dispenser and drain for use with a toilet

Номер патента: US09554676B1. Автор: Bernard Bisciotti. Владелец: Individual. Дата публикации: 2017-01-31.

Wholly aromatic polyamides, processes for preparing them and structures formed from them

Номер патента: CA1322429C. Автор: Peter Klein,Karl Heinrich,Gunter Keil. Владелец: Hoechst AG. Дата публикации: 1993-09-21.

Strand for stressed concrete structure and process for its production

Номер патента: WO1987003322A1. Автор: Otto Lakatos,Lajos Madarasz. Владелец: "December 4." Drótmüvek. Дата публикации: 1987-06-04.

Process and apparatus for forming edible products, and products formed thereby

Номер патента: AU7416281A. Автор: Gary Norman Binley. Владелец: UNILEVER PLC. Дата публикации: 1982-02-16.

Waste water treatment process for animal processing contaminant removal

Номер патента: EP1490303A4. Автор: Stephen Allen. Владелец: Water Solutions Inc. Дата публикации: 2007-02-28.

Formulation for silicone hydrogel, silicone hydrogel and method for forming silicone hydrogel

Номер патента: US09896531B2. Автор: Hsiu-Wen Chien. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2018-02-20.

Building block and structures formed therefrom

Номер патента: CA1101688A. Автор: Gary L. Hansen,Keith W. Inness. Владелец: Insulock Corp. Дата публикации: 1981-05-26.

Apparatus and processes for silicon on insulator mems pressure sensors

Номер патента: CA2807671A1. Автор: George C. Brown,Curtis Rahn. Владелец: Honeywell International Inc. Дата публикации: 2013-09-05.

Water closet bowl support and drain assembly

Номер патента: GB749121A. Автор: Hurley Vernon Boggess,John Henry Schmid. Владелец: J A ZURN Manufacturing CO. Дата публикации: 1956-05-16.

Production process for silicone polymer

Номер патента: US20190023848A1. Автор: Hidetoshi Kato,Masayuki Mizuta,Hiroyasu Seki,Hisatake Kobayashi. Владелец: Toray Fine Chemicals Co Ltd. Дата публикации: 2019-01-24.

Trapping storage flash memory cell structure with inversion source and drain regions

Номер патента: US20080205166A1. Автор: Mu-Yi Liu,Chia-Lun Hsu. Владелец: Macronix International Co Ltd. Дата публикации: 2008-08-28.

Production method for silicon monocrystal

Номер патента: US20230340691A1. Автор: Hitomi Yamamoto,Shogo Kobayashi,Takahiro KANEHARA,Norihito Fukatsu. Владелец: Sumco Corp. Дата публикации: 2023-10-26.

SYSTEM AND PROCESS FOR EFFICIENT SCR AT HIGH NO2 TO NOx RATIOS

Номер патента: WO2021115815A1. Автор: David Taylor,Kim Hougaard PEDERSEN,Wayne S. Jones. Владелец: UMICORE AG & CO. KG. Дата публикации: 2021-06-17.

Process for manufacturing a pure porous 3D diamond

Номер патента: US12065357B2. Автор: Saeed Alhassan ALKHAZRAJI. Владелец: Individual. Дата публикации: 2024-08-20.

Motor vehicle body provided with a structure for receiving and draining water

Номер патента: US09481397B2. Автор: Gianfranco Del Nero,Sebastian Favaloro,Ernesto D'Andrea. Владелец: FCA Italy SpA. Дата публикации: 2016-11-01.

Sliding shower door guide and drain assembly

Номер патента: US09433325B2. Автор: James Allen Austin, Iii. Владелец: Liberty Hardware Manufacturing Corp. Дата публикации: 2016-09-06.

Interlocked remote oil filter and drain

Номер патента: CA1313609C. Автор: Torey W. Degrazia, Jr.. Владелец: Individual. Дата публикации: 1993-02-16.

Combined faucet and drain assembly

Номер патента: CA2475465C. Автор: Perry D. Erickson,Ricardo O. Gray,Jeffrey L. Mueller,Mark F. Mcmullen. Владелец: Kohler Co. Дата публикации: 2007-11-13.

Fast filling and draining valve assembly and associated system

Номер патента: US20230000023A1. Автор: Benestine Lee,Todd Eberline,Paul Millett,Neill Wainwright. Владелец: Invertigro Pty Ltd. Дата публикации: 2023-01-05.

Inflow and drain fixture for bathtubs or shower tubs

Номер патента: CA2316734C. Автор: Maarten Röst,David Benne. Владелец: Geberit Technik Ag. Дата публикации: 2007-06-12.

Optimized process for silicon deposition

Номер патента: WO2024027952A1. Автор: Alexander Lygin. Владелец: Alexander Lygin. Дата публикации: 2024-02-08.

Optimized process for silicon deposition

Номер патента: EP4317062A1. Автор: Alexander Lygin. Владелец: Individual. Дата публикации: 2024-02-07.

Cutting structures and structures for retaining the same

Номер патента: US20140367174A1. Автор: Michael A. Siracki. Владелец: Smith International Inc. Дата публикации: 2014-12-18.

Apparatus and method for silicon carbide ingot peeling

Номер патента: US20240149494A1. Автор: Yi-Wei Lin,Weng-Jung Lu,Ying-Fang Chang,Pin-Yao Lee. Владелец: Industrial Technology Research Institute ITRI. Дата публикации: 2024-05-09.

Compositions and processes for producing vitamin K

Номер патента: AU2019330737B2. Автор: Fariba Dehghani,John Kavanagh,Yanwei MA,Dale McClure. Владелец: UNIVERSITY OF SYDNEY. Дата публикации: 2022-07-14.

Method and structure of ion implanted elements for the optimization of resistance

Номер патента: US20070111355A1. Автор: Russell Johnson,Curtis Rahn. Владелец: Honeywell International Inc. Дата публикации: 2007-05-17.

Tamper-proof strainer and drain stop assembly

Номер патента: US20210285196A1. Автор: Greg Glazar. Владелец: Individual. Дата публикации: 2021-09-16.

Contain and drain sill systems

Номер патента: US20240254830A1. Автор: Christopher Hanson,Adam Rietz,Allen DaRonco. Владелец: Andersen Corp. Дата публикации: 2024-08-01.

Process for tanning leathers with triazine derivatives

Номер патента: EP3049541A2. Автор: Valentina BEGHETTO,Giorgio POZZA,Aurora ZANCANARO. Владелец: Gruppo Mastrotto Spa. Дата публикации: 2016-08-03.

Alloy, protective coating and structural element

Номер патента: RU2591096C2. Автор: Вернер ШТАММ. Владелец: СИМЕНС АКЦИЕНГЕЗЕЛЛЬШАФТ. Дата публикации: 2016-07-10.

Structure from foamed concrete and structural reinforcement mesh and method of its erection

Номер патента: RU2693071C1. Автор: Дянъи ЛИ. Владелец: Дянъи ЛИ. Дата публикации: 2019-07-01.

Aquarium capable of automatically collecting, precipitating and draining sewage

Номер патента: EP1741333A1. Автор: Chen Hui Liao. Владелец: Individual. Дата публикации: 2007-01-10.

Modular system for creating structure, module connector and structure comprising modular system

Номер патента: RU2766969C2. Автор: Иоахим БИНДЕР. Владелец: Кнауф Гипс КГ. Дата публикации: 2022-03-16.

Reinforcing filler for silicone rubber and sealants

Номер патента: US20050203236A1. Автор: Ashok Khokhani,Christina Prowell,Scott Schurmann. Владелец: Engelhard Corp. Дата публикации: 2005-09-15.

Dish rack and drain tray assembly

Номер патента: US5158184A. Автор: Stacy L. Wolff,Charles W. Craft. Владелец: Rubbermaid Inc. Дата публикации: 1992-10-27.

Sliding shower door guide and drain assembly

Номер патента: CA2859532C. Автор: James A. Austin, Iii. Владелец: Liberty Hardware Manufacturing Corp. Дата публикации: 2019-01-08.

Tool and method for cleaning and draining a water heater

Номер патента: US11920830B1. Автор: Russell Dwayne Mcnally. Владелец: Individual. Дата публикации: 2024-03-05.

Halogen assisted physical vapor transport method for silicon carbide growth

Номер патента: US20120167825A1. Автор: Valeri F. Tsvetkov,Hudson M. Hobgood,Stephan G. Mueller. Владелец: Individual. Дата публикации: 2012-07-05.

Ink for silicone rubber, and molded silicone rubber

Номер патента: US20010018473A1. Автор: Akito Nakamura,Takayoshi Otomo. Владелец: Dow Corning Toray Silicone Co Ltd. Дата публикации: 2001-08-30.

Tool for silicone caulking

Номер патента: WO2023237899A1. Автор: Zoltan Zelenka. Владелец: Zelenka Zoltan. Дата публикации: 2023-12-14.

Tool and method for cleaning and draining a water heater

Номер патента: US20240200829A1. Автор: Russell Dwayne Mcnally. Владелец: Individual. Дата публикации: 2024-06-20.

Packages and processes for radio frequency mitigation and self-test

Номер патента: US20240094779A1. Автор: Krishna Prasad VUMMIDI MURALI. Владелец: Apple Inc. Дата публикации: 2024-03-21.

Process for preparing phosphotartaric acid and salts thereof

Номер патента: WO2017154556A1. Автор: Hater Wolfgang,Wolf Florian,Lunkenheimer Rudolf. Владелец: KURITA WATER INDUSTRIES LTD.. Дата публикации: 2017-09-14.

Structural parts kit for formation of architectural and structural didactic models

Номер патента: US20220249970A1. Автор: Marcio Sequeira De Oliveira. Владелец: Individual. Дата публикации: 2022-08-11.

Short Chain PEGylation of Amino Acid Monomers Glutamine, Lysine and Peptides Formed Thereby

Номер патента: US20170137460A1. Автор: Modi WETZLER,Paris Lamont HAMILTON. Владелец: Clemson University. Дата публикации: 2017-05-18.

Process for producing and using fibrillated biodegradable microfibers

Номер патента: US20240102238A1. Автор: Nicholas Morris. Владелец: Individual. Дата публикации: 2024-03-28.

Process for the manufacture of γ-pyrones

Номер патента: US5075461A. Автор: Hans-Jakob Wild. Владелец: Givaudan Corp. Дата публикации: 1991-12-24.

A Process for the Continuous Preparation of Wort

Номер патента: CA2100262A1. Автор: Christian W. Versteegh. Владелец: Individual. Дата публикации: 1992-07-12.

Process for the preparation of arylcyclobutene terminated condensation polymers

Номер патента: WO1992011308A1. Автор: Alan K. Schrock,Maurice J. Marks,Thomas H. Newman. Владелец: The Dow Chemical Company. Дата публикации: 1992-07-09.

Remote controlled water flow and drain system

Номер патента: WO2003020012A1. Автор: FRANC GERGEK. Владелец: FRANC GERGEK. Дата публикации: 2003-03-13.

Remote controlled water flow and drain system

Номер патента: EP1422996A1. Автор: FRANC GERGEK. Владелец: Individual. Дата публикации: 2004-06-02.

Discovering contexts and structured data structures from hazy data

Номер патента: EP4239493A1. Автор: Hyun Joo Kim,Richard MONTONEY. Владелец: Boeing Co. Дата публикации: 2023-09-06.

Laundry treatment apparatus having a condensate tank and method of condensate collecting and draining

Номер патента: EP3008237A1. Автор: Giuseppe Rossi,Nicola Reid. Владелец: Electrolux Appliances AB. Дата публикации: 2016-04-20.

Discovering contexts and structured data structures from hazy data

Номер патента: US20230281178A1. Автор: Hyun Joo Kim,Richard MONTONEY. Владелец: Boeing Co. Дата публикации: 2023-09-07.

Process for producing polyarylene sulfide and apparatus used therein

Номер патента: US20020147299A1. Автор: Yoshinari Koyama. Владелец: Idemitsu Petrochemical Co Ltd. Дата публикации: 2002-10-10.

Process for providing steam for a hydrocarbon recovery process

Номер патента: US20240287884A1. Автор: Timothy Bennet Anderson. Владелец: Cenovus Energy Inc. Дата публикации: 2024-08-29.

Process for producing a fertilizer and related fertilizer

Номер патента: WO2024003703A1. Автор: Michele Rossin,Alberto Modena,Clizia Franceschi,Matteo Casella,Elia Pagliarini. Владелец: F.O.M.E.T. S.P.A.. Дата публикации: 2024-01-04.

Discovering contexts and structured data structures from hazy data

Номер патента: US12086119B2. Автор: Hyun Joo Kim,Richard MONTONEY. Владелец: Boeing Co. Дата публикации: 2024-09-10.

Metal foam bodies and process for production thereof

Номер патента: US12076790B2. Автор: René Poss,Monika Berweiler,Meike Roos. Владелец: EVONIK OPERATIONS GMBH. Дата публикации: 2024-09-03.

Processes for making chitosan salts and products formed thereby

Номер патента: US20200017608A1. Автор: Hélène L. Lauzon,Rúnar Marteinsson. Владелец: Primex ehf. Дата публикации: 2020-01-16.

Improved processes for making chitosan salts and products formed thereby

Номер патента: EP3820911A1. Автор: Rúnar Marteinsson,Hélène Liette LAUZON. Владелец: Primex ehf. Дата публикации: 2021-05-19.

Electro-optic displays, and processes for the production thereof

Номер патента: US20130141778A1. Автор: Richard J. Paolini, Jr.,Thomas Henry Whitesides. Владелец: E Ink Corp. Дата публикации: 2013-06-06.

Process for the preparation of a catalyst support

Номер патента: US09987623B2. Автор: Aan Hendrik Klazinga,László Domokos,Peter Geerinck. Владелец: Shell Oil Co. Дата публикации: 2018-06-05.

Process for friction stir welding dissimilar metals and workpiece assemblies formed thereby

Номер патента: US09937587B2. Автор: Vahid Firouzdor,Sindo Kou. Владелец: WISCONSIN ALUMNI RESEARCH FOUNDATION. Дата публикации: 2018-04-10.

Process for processing fresh produce

Номер патента: US09894909B1. Автор: David Morris,Carter McEntire,R. C. McEntire, Jr.,Tom Lovelace. Владелец: McEntire Produce Inc. Дата публикации: 2018-02-20.

Process for the preparation of a catalyst support

Номер патента: US09873111B2. Автор: Aan Hendrik Klazinga,László Domokos,Peter Geerinck. Владелец: Shell Oil Co. Дата публикации: 2018-01-23.

Process for removing growth factors from platelets

Номер патента: US09757418B1. Автор: Clark Galen,John Kiwczak,Gary H. Nelson,Ryan N Brandt. Владелец: Pgfx Patent Holdings Llc. Дата публикации: 2017-09-12.

Process for separating data recorded during a continuous data acquisition seismic survey

Номер патента: US09690003B2. Автор: John Sallas. Владелец: CGG SERVICES SAS. Дата публикации: 2017-06-27.

Process for producing VET-type zeolite

Номер патента: US09650257B2. Автор: Keiji Itabashi,Tatsuya Okubo,Kenta Iyoki. Владелец: Unizeo Co Ltd. Дата публикации: 2017-05-16.

Process for the preparation of a catalyst support

Номер патента: US09604204B2. Автор: Aan Hendrik Klazinga,László Domokos,Peter Geerinck. Владелец: Shell Oil Co. Дата публикации: 2017-03-28.

Process and structure for servicing a vehicle

Номер патента: US09499378B2. Автор: Jim Kelly,Denise C. Kelly. Владелец: Individual. Дата публикации: 2016-11-22.

Process for making improved catalysts from clay-derived zeolites

Номер патента: US09416322B2. Автор: Wu-Cheng Cheng,Richard F. Wormsbecher,Yuying Shu,Michael D Wallace. Владелец: WR Grace and Co Conn. Дата публикации: 2016-08-16.

Method for silicon packing into packing casing

Номер патента: RU2463227C2. Автор: Го САСАКИ,Го САСАКИ (JP). Владелец: Мицубиси Материалз Корпорейшн. Дата публикации: 2012-10-10.

Processes for preparing barrier layer films for use in enzyme electrodes and films made thereby

Номер патента: US5766839A. Автор: Jay M. Johnson,Jeffrey L. Huntington. Владелец: YSI Inc. Дата публикации: 1998-06-16.

Method for construction of caisson blocks and structure from caisson blocks

Номер патента: RU2710433C1. Автор: Сан Ки КИМ. Владелец: Юджу Ко., Лтд. Дата публикации: 2019-12-26.

Installation element and structure of a building wall

Номер патента: RU2763940C2. Автор: Рёхэй ТАЦУКИ. Владелец: НИТИХА КОРПОРЕЙШН. Дата публикации: 2022-01-11.

Structural assembly and structure used, in particular, in aircraft engineering

Номер патента: RU2496678C2. Автор: Хельмут ЛЮТТИНГ. Владелец: Эйрбас Оперэйшнз Гмбх. Дата публикации: 2013-10-27.

Method to make structure having prefabricated wooden frame and structure produced by this method

Номер патента: RU2526941C2. Автор: Пьер-Эмманюэль ЛИТЕЗ. Владелец: Эльмер. Дата публикации: 2014-08-27.

Method and apparatus for making inorganic webs and structures formed thereof

Номер патента: CA1320897C. Автор: Manfred R. Kuehnle. Владелец: Individual. Дата публикации: 1993-08-03.

Branched polysilahydrocarbon precursors for silicon carbide

Номер патента: CA1225511A. Автор: Thomas C. Williams,Curtis L. Schilling, Jr.. Владелец: Union Carbide Corp. Дата публикации: 1987-08-18.

Coating system for silicon based substrates

Номер патента: US7374818B2. Автор: Wayde R. Schmidt,Tania Bhatia,William K. Tredway,Venkata R. Vedula. Владелец: United Technologies Corp. Дата публикации: 2008-05-20.

Drying and draining multi-leveled shoe rack system

Номер патента: CA3028769C. Автор: Alice Morin. Владелец: Individual. Дата публикации: 2022-04-05.

Device for connecting and draining a pouch

Номер патента: CA1277634C. Автор: Roland Steiner,Eugene Van Meir. Владелец: SOCIETE DES PRODUITS NESTLE SA. Дата публикации: 1990-12-11.

Condensate Liquid Level Sensor and Drain Fitting

Номер патента: US20120318381A1. Автор: Jeffrey N. Arensmeier. Владелец: EMERSON ELECTRIC CO. Дата публикации: 2012-12-20.

Process for producing 2,5-furandicarboxylic acid dialkyl ester

Номер патента: US20210188794A1. Автор: Ronnie Ozer,Eric R. Sacia,Pranit S. Metkar. Владелец: DuPont Industrial Biosciences USA LLC. Дата публикации: 2021-06-24.

Staggered Arcuate Interlocking Frame Adapted for Silicone Edge Graphics

Номер патента: US20200168134A1. Автор: Branden Storey. Владелец: Individual. Дата публикации: 2020-05-28.

Process and structure for repairing defect of liquid crystal display

Номер патента: US20060164592A1. Автор: Chih-Wei Wang,George Hsu,Hong-Jye Hong. Владелец: Hannstar Display Corp. Дата публикации: 2006-07-27.

Process for producing water-resistant films from corn gluten meal

Номер патента: US20230271106A1. Автор: Anne Lamp. Владелец: Traceless Materials GmbH. Дата публикации: 2023-08-31.

Process for making three-dimensional objects from filamentary materials and corresponding object

Номер патента: WO2003055666A1. Автор: Paladino Orlandini. Владелец: Orlando Orlandini S.R.L.. Дата публикации: 2003-07-10.

Systems and processes for reforming a liquid hydrocarbon fuel

Номер патента: US12006479B1. Автор: Qingyuan Hu,Guanghui Zhu,Maryam M. AL-TAHER. Владелец: Saudi Arabian Oil Co. Дата публикации: 2024-06-11.

Modular elements for portions of building façades and processes for removing and replacing such portions

Номер патента: US11821649B2. Автор: Massimo Roj. Владелец: Progetto Cmr Srl. Дата публикации: 2023-11-21.

Matching inventory characteristics and structure

Номер патента: US20230108984A1. Автор: Walker RAMIREZ,Saman BAGHESTANI,Brandon DRUMHELLER,Veerendra JOTE. Владелец: Capital One Services LLC. Дата публикации: 2023-04-06.

Process for producing 2,5-furandicarboxylic acid dialkyl ester

Номер патента: US20200255391A1. Автор: Ronnie Ozer,Eric R. Sacia,Pranit S. Metkar. Владелец: DuPont Industrial Biosciences USA LLC. Дата публикации: 2020-08-13.

Process for manufacturing oxides

Номер патента: WO2024165387A1. Автор: Alexander Lygin,Franz Schmidt. Владелец: EVONIK OPERATIONS GMBH. Дата публикации: 2024-08-15.

Process for storing data

Номер патента: WO2001069413A3. Автор: Andrew Martin. Владелец: Inpharmatica Ltd. Дата публикации: 2004-02-12.

Process for storing data

Номер патента: WO2001069413A2. Автор: Andrew Martin. Владелец: Inpharmatica Limited. Дата публикации: 2001-09-20.

Systems and processes for reforming a liquid hydrocarbon fuel

Номер патента: US20240287393A1. Автор: Qingyuan Hu,Guanghui Zhu,Maryam M. AL-TAHER. Владелец: Saudi Arabian Oil Co. Дата публикации: 2024-08-29.

Systems and processes for reforming a liquid hydrocarbon fuel

Номер патента: WO2024129851A1. Автор: Qingyuan Hu,Guanghui Zhu,Maryam M. AL-TAHER. Владелец: Aramco Services Company. Дата публикации: 2024-06-20.

Systems and processes for reforming a liquid hydrocarbon fuel

Номер патента: US20240199961A1. Автор: Qingyuan Hu,Guanghui Zhu,Maryam M. AL-TAHER. Владелец: Saudi Arabian Oil Co. Дата публикации: 2024-06-20.

Process for preparing dispersible sulfate, preferably barium sulfate nanoparticles

Номер патента: AU2004319070A1. Автор: Michael Berkei,Heiner Pfundt. Владелец: Nanosolutions Gmbh. Дата публикации: 2005-11-10.

Process for preparing discodermolide and analogues thereof

Номер патента: US20020198389A1. Автор: Frederick Kinder. Владелец: Individual. Дата публикации: 2002-12-26.

Ionic liquid based processes for extraction of metals

Номер патента: US20240183006A1. Автор: Robin D. Rogers,Gabriela Gurau,Caleb M. Hill,Kristin R. DI BONA. Владелец: Wyonics Llc. Дата публикации: 2024-06-06.

Processes for manufacturing biodiesel from fatty waste

Номер патента: EP4402275A1. Автор: Yonatan SHPANOF. Владелец: Univice ME Ltd. Дата публикации: 2024-07-24.

Process for producing reaction bonded silicon carbide member

Номер патента: US09994487B2. Автор: Masami Ando,Takayuki Ide,Takero TOKIZONO. Владелец: TOTO LTD. Дата публикации: 2018-06-12.

Process for building tyres and tyre obtained thereby

Номер патента: US09802376B2. Автор: Gianni Mancini. Владелец: Pirelli Tyre SpA. Дата публикации: 2017-10-31.

Universal panels and structures comprised of the same

Номер патента: US09657490B1. Автор: Charles K Willsey. Владелец: Individual. Дата публикации: 2017-05-23.

Method of forming poly insulator poly capacitor by self-aligned silicide process

Номер патента: TWI220553B. Автор: Hao Fang,Rong-Zheng Gao. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2004-08-21.

Method of forming poly insulator poly capacitor by self-aligned silicide process

Номер патента: TW200516703A. Автор: Hao Fang,Rong-Zheng Gao. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2005-05-16.

Process for producing self-aligned silicide

Номер патента: TW337596B. Автор: Hann-Shing Liou. Владелец: Winbond Electronics Corp. Дата публикации: 1998-08-01.

Manufacturing method of bridge free MOSFET with self-aligned silicide

Номер патента: TW396607B. Автор: Hung-Huei Tzeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2000-07-01.

Self-aligned silicide technology

Номер патента: TW411511B. Автор: Jian-Ting Lin,Jiun-Yuan Wu,Huo-Tie Lu,Shiau-Ling Liu. Владелец: United Microelectronics Corp. Дата публикации: 2000-11-11.

MOSFET with self-aligned silicide and gate-side air-gap structure

Номер патента: TW346647B. Автор: Shye-Lin Wu. Владелец: Ti Acer Co Ltd. Дата публикации: 1998-12-01.

Manufacturing method of self-aligned silicide with low resistance

Номер патента: TW299474B. Автор: Jenn-Hwa Yu,Sheau-Lin Suei. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-03-01.

Formation self-aligned silicide layer by using double ion implantation

Номер патента: TW398034B. Автор: Jau-Jie Tsai,Ching-Shiung He,Shou-Ren Jang,Jeng-Kuen Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-07-11.

Manufacturing method of field effect transistor with self-aligned silicide

Номер патента: TW320750B. Автор: Shuenn-Liang Sheu,Taw-Jye Tsay. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 1997-11-21.

Method of forming self-aligned silicide by double ion implantation

Номер патента: TW418449B. Автор: Chi-Ming Yang,Jau-Jie Tsai,Shou-Ren Jang,Jeng-Kuen Lin. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-01-11.

Manufacturing method of mask read only memory capable of using self-aligned silicide

Номер патента: TWI251336B. Автор: Shuang-Feng Ye. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2006-03-11.

Manufacturing method of mask read only memory capable of using self-aligned silicide

Номер патента: TW200623397A. Автор: Shuang-Feng Ye. Владелец: Grace Semiconductor Mfg Corp. Дата публикации: 2006-07-01.

Manufacturing method of self-aligned silicide

Номер патента: TW552640B. Автор: Shau-Lin Shue,Chih-Wei Chang,Mei-Yun Wang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2003-09-11.

The manufacturing method for self-aligned silicide

Номер патента: TW325585B. Автор: Jiing-Jiang Jang,Chorng-Jou Chen. Владелец: Winbond Electronics Corp. Дата публикации: 1998-01-21.

Double self-aligned silicide manufacture process

Номер патента: TW486752B. Автор: Hung-Huei Tzeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 2002-05-11.

Method for manufacturing embedded DRAM having a self-aligned silicide logic bit structure

Номер патента: TW415043B. Автор: Wan-Yi Lian. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-12-11.

Method for forming a self-aligned silicide

Номер патента: TW434712B. Автор: Jian-Ting Lin,Rung-Jiun Lin. Владелец: United Microelectronics Corp. Дата публикации: 2001-05-16.

Method of producing self-aligned silicide

Номер патента: TW418446B. Автор: Jian-Rung Wang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2001-01-11.

Method for preventing bridge phenomenon in self-aligned silicide formation

Номер патента: TW394978B. Автор: Jian-Ting Lin,Jr-Wen Jou. Владелец: United Microelectronics Corp. Дата публикации: 2000-06-21.

Self Aligned Silicided Contacts

Номер патента: US20120126343A1. Автор: . Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-05-24.

SELF-ALIGNED SILICIDE FORMATION ON SOURCE/DRAIN THROUGH CONTACT VIA

Номер патента: US20120241963A1. Автор: Uozumi Yoshihiro. Владелец: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.. Дата публикации: 2012-09-27.

Self Aligned Silicide Device Fabrication

Номер патента: US20130134558A1. Автор: Speck Robert K.,Tull Kenneth B.,Miller Marjorie L.. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2013-05-30.

FORMING NICKEL-PLATINUM ALLOY SELF-ALIGNED SILICIDE CONTACTS

Номер патента: US20140073130A1. Автор: Lavoie Christian,Ozcan Ahmet S.,Hilscher David F.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-13.

Method for forming MOSFETs with recessed self-aligned silicide joint and extended source/drain junction

Номер патента: TW544859B. Автор: Shie-Lin Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2003-08-01.

Manufacturing process of self-aligned silicide barrier layer

Номер патента: CN1971857A. Автор: 陈华伦,周贯宇. Владелец: Shanghai Hua Hong NEC Electronics Co Ltd. Дата публикации: 2007-05-30.

Method of making a self-aligned silicide

Номер патента: TW429440B. Автор: Jian-Ting Lin,Jr-Wen Jou. Владелец: United Microelectronics Corp. Дата публикации: 2001-04-11.

Method of manufacturing a short-channel nMOSFET having a self-aligned silicide contact

Номер патента: TW403948B. Автор: Shie-Lin Wu. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-09-01.

Method for producing self-aligned silicide (salicide)

Номер патента: TW434710B. Автор: Shiue-Wen Wang. Владелец: United Microelectronics Corp. Дата публикации: 2001-05-16.

Structure and manufacturing method for self-aligned silicide

Номер патента: TW383456B. Автор: Jau-Jie Tsai,Shiu-Sheng Peng. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2000-03-01.

Manufacturing method of self-aligned silicide device with extended path

Номер патента: TW306058B. Автор: Horng-Huei Tzeng. Владелец: Vanguard Int Semiconduct Corp. Дата публикации: 1997-05-21.

Method to fabricate MOSFETS with ESD resistance and self-aligned silicide

Номер патента: TW360970B. Автор: Shye-Lin Wu. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 1999-06-11.

Method for forming a self-aligned silicide

Номер патента: TW447020B. Автор: Chi-Dung Huang,Jeng-Cheng Shiue. Владелец: Macronix Int Co Ltd. Дата публикации: 2001-07-21.

Process for preparing transistor with metallic silicide on source and drain

Номер патента: CN1366335A. Автор: 曾鸿辉. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-08-28.

OXYGEN PLASMA CONVERSION PROCESS FOR PREPARING A SURFACE FOR BONDING

Номер патента: US20120003813A1. Автор: Usenko Alex,Chuang Ta Ko. Владелец: . Дата публикации: 2012-01-05.

Self-aligned cmos process for bulk silicon and insulating substrate device

Номер патента: CA1057414A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1979-06-26.

Self-aligned cmos process for bulk silicon and insulating substrate device

Номер патента: CA1057415A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1979-06-26.

Self-aligned cmos process for bulk silicon and insulating substrated device

Номер патента: CA1057416A. Автор: Gregorio Spadea. Владелец: National Semiconductor Corp. Дата публикации: 1979-06-26.

Process for catalytic deoxygenation of coal mine methane

Номер патента: US20120003132A1. Автор: Wang Sheng,ZHANG Chunxi,Wang Shudong,LI Deyi,Yuan Zhongshan,Ni Changjun. Владелец: . Дата публикации: 2012-01-05.

POLYARYLENE POLYMERS AND PROCESSES FOR PREPARING

Номер патента: US20120004387A1. Автор: Teasley Mark F.. Владелец: E. I. Du Pont De Nemours and Company. Дата публикации: 2012-01-05.

METHOD AND STRUCTURE FOR NASAL DILATOR

Номер патента: US20120004683A1. Автор: Gray David,Litman Mark A.. Владелец: . Дата публикации: 2012-01-05.

Light-weight laminated wall structure formed of different materials

Номер патента: RU2260096C1. Автор: А.Я. Стеценко. Владелец: Стеценко Анатолий Яковлевич. Дата публикации: 2005-09-10.

PLASMA-ARC-THROUGH APPARATUS AND PROCESS FOR SUBMERGED ELECTRIC ARCS

Номер патента: US20120000787A1. Автор: . Владелец: MAGNEGAS CORPORATION. Дата публикации: 2012-01-05.

PROCESS FOR PRODUCING CAROTENOID

Номер патента: US20120004319A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Process For The Preparation Of An Isomorphously Substituted Silicate

Номер патента: US20120004465A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

PROCESS FOR HIGH EFFICIENCY, LOW POLLUTION FUEL CONVERSION

Номер патента: US20120000403A1. Автор: Taplin,JR. Harry R.. Владелец: . Дата публикации: 2012-01-05.

POROUS CERAMICS SHAPED BODY, AND PROCESS FOR PRODUCING SAME

Номер патента: US20120003464A1. Автор: Uoe Kousuke,Yoshino Hajime,Suzuki Keiichiro. Владелец: Sumitomo Chemical Company, Limited. Дата публикации: 2012-01-05.

PROCESS FOR PRODUCING MULTILAYERED GAS-BARRIER FILM

Номер патента: US20120003500A1. Автор: . Владелец: MITSUBISHI PLASTICS, INC.. Дата публикации: 2012-01-05.

PROCESS FOR OXIDIZING ALKYL AROMATIC COMPOUNDS

Номер патента: US20120004448A1. Автор: . Владелец: UOP LLC. Дата публикации: 2012-01-05.

PROCESS FOR OXIDIZING ALKYL AROMATIC COMPOUNDS

Номер патента: US20120004449A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

PROCESS FOR PRODUCING TEREPHTHALIC ACID

Номер патента: US20120004450A1. Автор: Bhattacharyya Alakananda,Walenga Joel T.,Kocal Joseph A.,Adonin Nikolay Y.,"Balzhinimaev Bair S.",Kuznetsova Nina I.. Владелец: . Дата публикации: 2012-01-05.

PROCESS FOR PRODUCING TEREPHTHALIC ACID

Номер патента: US20120004451A1. Автор: Bhattacharyya Alakananda,Walenga Joel T.. Владелец: UOP LLC. Дата публикации: 2012-01-05.

Method for storage and draining of viscous fluids

Номер патента: RU2020116C1. Автор: Егор Владимирович Гольцов. Владелец: Егор Владимирович Гольцов. Дата публикации: 1994-09-30.

Improvements in the Means for Supporting, Anchoring and Draining Tramway Rails and Rail Beds and the like.

Номер патента: GB190817592A. Автор: Robert Birkett. Владелец: Individual. Дата публикации: 1909-07-08.

Water cleaner and draining valve with automatic exhausting and leak- stopping capability

Номер патента: SG166705A1. Автор: Chih-Chien Hsiao. Владелец: Chih Chien Hsiao. Дата публикации: 2010-12-29.

Improvements in the Method of and in Means for Cleansing Rivers, Streams, and Drains.

Номер патента: GB190612698A. Автор: William Robinson,James WHITWORTH. Владелец: Individual. Дата публикации: 1907-05-30.

An Improved Conveying and Draining Device for Washed Bottles

Номер патента: GB190122419A. Автор: Anders Andersen Pindstofte. Владелец: Individual. Дата публикации: 1902-09-11.

An Overflow and Drain Cock for Oil Wells of Bearings.

Номер патента: GB190111011A. Автор: . Владелец: Vickers Sons and Maxim Ltd. Дата публикации: 1901-07-13.

Kinematic support for seismic stable buildings and structures

Номер патента: RU2256749C2. Автор: Р.А. Юсупов,А.К. Юсупов. Владелец: Юсупов Рамзес Абусович. Дата публикации: 2005-07-20.