• Главная
  • Method for forming metal barrier film of semiconductor device

Method for forming metal barrier film of semiconductor device

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method for forming metal wire of semiconductor device

Номер патента: US5780356A. Автор: Jeong Tae Kim. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-07-14.

Merged gate and source/drain contacts in a semiconductor device

Номер патента: US09960256B2. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Globalfoundries Inc. Дата публикации: 2018-05-01.

Semiconductor devices having equal thickness gate spacers

Номер патента: US20190123167A1. Автор: CHENG Chi,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2019-04-25.

Semiconductor devices having equal thickness gate spacers

Номер патента: US20180240889A1. Автор: CHENG Chi,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2018-08-23.

Semiconductor device and method for fabricating the same

Номер патента: US20220367661A1. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2022-11-17.

Semiconductor device and method for fabricating the same

Номер патента: US11935934B2. Автор: Young Gwang YOON. Владелец: SK hynix Inc. Дата публикации: 2024-03-19.

Method for forming multi-layer metal line of semiconductor device

Номер патента: US20030129825A1. Автор: Jun Yoon. Владелец: Individual. Дата публикации: 2003-07-10.

Methods for forming on-chip capacitor structures in semiconductor devices

Номер патента: US12108603B2. Автор: LIANG Chen. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-10-01.

Tungsten plug structure of semiconductor device and method for forming the same

Номер патента: US20070102824A1. Автор: In Chun. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2007-05-10.

Structure and formation method of semiconductor device structure

Номер патента: US09905633B1. Автор: Chi-Han YANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-02-27.

Method for Forming Tantalum Nitride Film

Номер патента: US20090159431A1. Автор: Kyuzo Nakamura,Tomoyasu Kondo,Harunori Ushikawa,Satoru Toyoda,Narishi Gonohe. Владелец: Individual. Дата публикации: 2009-06-25.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Method for forming word line of semiconductor device

Номер патента: US20040082155A1. Автор: Won Lee. Владелец: Individual. Дата публикации: 2004-04-29.

Semiconductor structure and method for manufacturing the same

Номер патента: US20130001691A1. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Beijing NMC Co Ltd. Дата публикации: 2013-01-03.

Power line layout structure of semiconductor device and method for forming the same

Номер патента: US09793210B2. Автор: Jae Hwan Kim. Владелец: SK hynix Inc. Дата публикации: 2017-10-17.

Method for forming bit line of semiconductor device

Номер патента: US20040067656A1. Автор: Sung Jin,Jai Roh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-04-08.

Method for forming metal line in a semiconductor device

Номер патента: US20070166987A1. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for forming metal line in a semiconductor device

Номер патента: US7632754B2. Автор: Han-Choon Lee,In-Cheol Baek. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-12-15.

Method for forming a line of semiconductor device

Номер патента: US20020090807A1. Автор: Tae Kwon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-11.

Method for forming a line of semiconductor device

Номер патента: US6548377B2. Автор: Tae Seok Kwon. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-04-15.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Method for Forming Super Contact in Semiconductor Device

Номер патента: US20100140806A1. Автор: Sang Chul Kim. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2010-06-10.

Method For Forming Semiconductor Structure And A Semiconductor

Номер патента: US20240268104A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-08.

Metal silicide, metal germanide, methods for making the same

Номер патента: US20160035852A1. Автор: Tom E. Blomberg,Suvi P. Haukka,Eva E. Tois,Viljami J. Pore. Владелец: ASM International NV. Дата публикации: 2016-02-04.

Doped metal germanide and methods for making the same

Номер патента: US09634106B2. Автор: Tom E. Blomberg,Suvi P. Haukka,Eva E. Tois,Viljami J. Pore. Владелец: ASM International NV. Дата публикации: 2017-04-25.

Method for forming tantalum nitride film

Номер патента: US20090246375A1. Автор: Tomoyasu Kondo,Harunori Ushikawa,Satoru Toyoda,Narishi Gonohe. Владелец: Individual. Дата публикации: 2009-10-01.

Semiconductor structure and method for forming same

Номер патента: US20210343581A1. Автор: Hongmin WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-11-04.

Method for forming metal wiring

Номер патента: US20190088539A1. Автор: Tsutomu Nakanishi,Yusuke Tanaka,Akihiko Happoya,Atsushi Hieno,Yasuhito Yoshimizu. Владелец: Toshiba Memory Corp. Дата публикации: 2019-03-21.

Method for forming fine patterns of semiconductor device

Номер патента: US09666434B2. Автор: DAE-YONG KANG,Jaehee Kim,Joonsoo PARK,Soonmok Ha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-30.

Method for forming a semiconductor image sensor device

Номер патента: US09570494B1. Автор: Sungkwon C. Hong,Gordon M. Grivna,David T. Price,Rick Jerome. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2017-02-14.

Method for forming metal wiring of semiconductor device

Номер патента: US5459100A. Автор: Kyeong K. Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1995-10-17.

Method for fabricating semiconductor devices

Номер патента: US7776622B2. Автор: Kouichi Nagai. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-08-17.

Method for manufacturing a semiconductor device

Номер патента: US20110186970A1. Автор: Min Chul SUNG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-08-04.

Method for manufacturing semiconductor devices having a metallisation layer

Номер патента: US09887152B2. Автор: Rudolf Zelsacher,Paul Ganitzer. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2018-02-06.

Semiconductor device and manufacturing method thereof

Номер патента: US09437620B2. Автор: Yoshitaka Dozen,Takuya Tsurume. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-09-06.

Interconnection structure, semiconductor device with interconnection structure and method for fabricating the same

Номер патента: US20230046051A1. Автор: Jong Su Kim. Владелец: SK hynix Inc. Дата публикации: 2023-02-16.

Method and system for metal barrier and seed integration

Номер патента: US20060110902A1. Автор: Ting Ang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2006-05-25.

Method for forming semiconductor structure and semiconductor structure

Номер патента: EP4075492A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-19.

Manufacturing method of semiconductor device

Номер патента: US20200279917A1. Автор: Yu-Chih Su,Yao-Jhan Wang,Che-Hsien Lin,Chun-jen Huang,Cheng-Yeh Huang,Te-Chang Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2020-09-03.

Semiconductor device and method for forming semiconductor device

Номер патента: US12100657B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for fabricating semiconductor device with metal line

Номер патента: US8030205B2. Автор: Sang-Hoon Cho,Hae-Jung Lee,Suk-Ki Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-10-04.

Method for manufacturing semiconductor device

Номер патента: US20140206187A1. Автор: Kenichi Hara,Takashi Hayakawa,Mariko Ozawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-07-24.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

Method of forming junction of semiconductor device

Номер патента: US20090111233A1. Автор: Dong Ho Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-04-30.

Method for manufacturing semiconductor device

Номер патента: US8951908B2. Автор: Kenichi Hara,Takashi Hayakawa,Mariko Ozawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-02-10.

Semiconductor device with self-aligned air gap and method for fabricating the same

Номер патента: US09640426B2. Автор: Jong-Min Lee,Il-Cheol RHO. Владелец: SK hynix Inc. Дата публикации: 2017-05-02.

Mechanism for forming metal gate structure

Номер патента: US09564332B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

An integrated circuit device and a method for forming the same

Номер патента: EP4391040A1. Автор: Anshul Gupta,Hans Mertens. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Semiconductor device and method for manufacturing the same

Номер патента: US20230282512A1. Автор: Kyung Wook KIM,Eun-ji Jung,Seung Yong Yoo,Eui Bok LEE,Jin Nam Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-09-07.

Semiconductor device and manufacturing method therefor

Номер патента: US20200006372A1. Автор: Feng Ji,Haoyu Chen,Qiwei Wang,Jinshuang Zhang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2020-01-02.

Mechanism for forming metal gate structure

Номер патента: US09941152B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Manufacturing method of semiconductor device, and semiconductor device

Номер патента: US09673073B2. Автор: Takayuki Nosaka. Владелец: Renesas Electronics Corp. Дата публикации: 2017-06-06.

FinFET-based ESD devices and methods for forming the same

Номер патента: US09559008B2. Автор: Jen-Chou Tseng,Wun-Jie Lin,Ching-Hsiung Lo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-31.

Manufacturing method of semiconductor device, and semiconductor device

Номер патента: US09428342B2. Автор: Takayuki Nosaka. Владелец: Renesas Electronics Corp. Дата публикации: 2016-08-30.

Semiconductor device structures and printed circuit boards comprising semiconductor devices

Номер патента: US20130228922A1. Автор: Mark E. Tuttle. Владелец: Micron Technology Inc. Дата публикации: 2013-09-05.

Method for forming a metal plug of a semiconductor device

Номер патента: US20030013299A1. Автор: Jung Kim,Ki-Hong Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-01-16.

Method for forming patterns for semiconductor device

Номер патента: US09875927B2. Автор: Home-Been Cheng,Tzu-Hao Fu,Tsung-Yin HSIEH,Ci-Dong Chu. Владелец: United Microelectronics Corp. Дата публикации: 2018-01-23.

Method for forming semiconductor device structure

Номер патента: US09842768B2. Автор: Chih-Lin Wang,Kang-Min Kuo,Shu-Cheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-12.

Method for forming void-free polysilicon and method for fabricating semiconductor device using the same

Номер патента: US09460964B2. Автор: Hyung-Kyun Kim. Владелец: SK hynix Inc. Дата публикации: 2016-10-04.

Method for interconnecting semiconductor devices

Номер патента: US5053349A. Автор: Fumitomo Matsuoka. Владелец: Toshiba Corp. Дата публикации: 1991-10-01.

Semiconductor device with fuse and anti-fuse structures and method for forming the same

Номер патента: US20220157717A1. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-19.

Methods for forming semiconductor devices using modified photomask layer

Номер патента: US20240222118A1. Автор: PENG Wang,Emilia Hirsch. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-04.

Methods for forming semiconductor devices using modified photomask layer

Номер патента: WO2024144886A1. Автор: PENG Wang,Emilia Hirsch. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2024-07-04.

Semiconductor device and fabrication method thereof

Номер патента: US20210066124A1. Автор: Tiantian Zhang,Jingjing Tan,Zengsheng XU. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-03-04.

Semiconductor device and method for fabricating the same

Номер патента: US20230253242A1. Автор: Jun Sik Kim. Владелец: SK hynix Inc. Дата публикации: 2023-08-10.

Silicon phosphide semiconductor device

Номер патента: US11749567B2. Автор: Tuoh Bin Ng,Tzu-Ching Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US20050142848A1. Автор: Kang Shin,Sang Ryu. Владелец: Individual. Дата публикации: 2005-06-30.

Structures and methods for source-down vertical semiconductor device

Номер патента: US12094967B2. Автор: Gordon M. Grivna. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-09-17.

Method for forming interlayer insulation film in semiconductor device

Номер патента: US7160810B2. Автор: Sang Wook Ryu,Kang Sup Shin. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-01-09.

Method for forming semiconductor device structure

Номер патента: US09991125B2. Автор: Chun-Chieh Lin,Huang-Yi Huang,Chen-Yuan Kao,Rueijer Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-06-05.

Semiconductor device and method for forming the same

Номер патента: US09786593B1. Автор: Po-Chun Lin. Владелец: Nanya Technology Corp. Дата публикации: 2017-10-10.

Method for forming patterns for semiconductor device

Номер патента: US09536751B2. Автор: Home-Been Cheng,Tzu-Hao Fu,Tsung-Yin HSIEH,Ci-Dong Chu. Владелец: United Microelectronics Corp. Дата публикации: 2017-01-03.

Method for forming semiconductor device structure

Номер патента: US09425087B1. Автор: Che-Cheng Chang,Wei-Ting Chen,Wei-Yin Shiao,Tai-Shin Cheng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-23.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230282517A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-07.

Process for forming metallized contacts to periphery transistors

Номер патента: WO2003041127B1. Автор: Richard H Lane,Terry McDaniel. Владелец: Micron Technology Inc. Дата публикации: 2004-05-13.

Semiconductor device and method of forming the same

Номер патента: US20230154824A1. Автор: Chia-Che Chung,Ming-Tzong Yang,Hsien-Hsin Lin,Chee-Wee Liu,Wen-Kai Wan. Владелец: Individual. Дата публикации: 2023-05-18.

Method for maufacturing semiconductor device

Номер патента: US20070042590A1. Автор: Shunichi Tokitoh. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2007-02-22.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US20020127869A1. Автор: Taizo Oku,Junichi Aoki,Youichi Yamamoto,Takashi Koromokawa. Владелец: Individual. Дата публикации: 2002-09-12.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US7550397B2. Автор: Sung Kyung Jung. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-06-23.

Semiconductor device and method for producing same

Номер патента: US20140367864A1. Автор: Hiroyuki Fujimoto. Владелец: Longitude Semiconductor SARL. Дата публикации: 2014-12-18.

Semiconductor device and a method for forming a semiconductor device

Номер патента: US20170250112A1. Автор: Stefan Barzen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-31.

Method for forming low dielectric constant layer

Номер патента: US20020132494A1. Автор: Wen-Yi Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2002-09-19.

Method of manufacturing a semiconductor device having a pre-metal dielectric liner

Номер патента: US20070148959A1. Автор: Sung Jung. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-28.

Semiconductor device and method for fabricating the same

Номер патента: US09941215B2. Автор: Ching-Wen Hung,Chih-Sen Huang,Jia-Rong Wu,Yi-Hui Lee,Ying-Cheng Liu. Владелец: United Microelectronics Corp. Дата публикации: 2018-04-10.

Semiconductor device and a method for forming a semiconductor device

Номер патента: US09875934B2. Автор: Stefan Barzen. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-01-23.

Method for fabricating semiconductor device

Номер патента: US09455135B2. Автор: Kun-Yuan Liao,Chieh-Te Chen,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-27.

A method for patterning layers of semiconductor devices

Номер патента: WO2002015231A2. Автор: Steffen Schneider,Virinder Grewal,Lars Paschedag,Ricky Mc Gowan. Владелец: Semiconductor 300 Gmbh & Co. Kg. Дата публикации: 2002-02-21.

Method for forming trench isolation using selective epitaxial growth and part oxidation in semiconductor device

Номер патента: KR100532406B1. Автор: 안동호. Владелец: 삼성전자주식회사. Дата публикации: 2005-11-30.

Method for forming field oxide film of semiconductor device

Номер патента: US6013561A. Автор: Jong Choul Kim,Byung Jin Cho,Se Aug Jang. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-01-11.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Semiconductor device and method for forming the same

Номер патента: US09558990B2. Автор: KYUNG Kyu Min. Владелец: SK hynix Inc. Дата публикации: 2017-01-31.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US20050054176A1. Автор: Jong Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-03-10.

Method for manufacturing device isolation film of semiconductor device

Номер патента: US7081396B2. Автор: Jong Goo Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-07-25.

Bed structure underlying electrode pad of semiconductor device and method for manufacturing same

Номер патента: US20010040242A1. Автор: Noboru Koike. Владелец: Toshiba Corp. Дата публикации: 2001-11-15.

Methods for forming fin field-effect transistors

Номер патента: US12068199B2. Автор: Chao-Cheng Chen,Ryan Chia-Jen Chen,Yih-Ann Lin,Chia Tai Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-20.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20220319908A1. Автор: Zhan Ying,Kui Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-10-06.

Methods for manufacturing shallow trench isolation layers of semiconductor devices

Номер патента: US20060024913A1. Автор: Bo Jo. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-02-02.

Semiconductor device, and method for manufacturing semiconductor device

Номер патента: US09595584B2. Автор: Katsuhisa Nagao. Владелец: ROHM CO LTD. Дата публикации: 2017-03-14.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089A1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2003-12-17.

Fabrication method of soi semiconductor devices

Номер патента: EP1371089B1. Автор: Denis Flandre,Jean-Pierre Raskin,Amaury Neve De Mevergnies. Владелец: Universite Catholique de Louvain UCL. Дата публикации: 2007-12-05.

Manufacturing method for semiconductor device

Номер патента: US20150171103A1. Автор: Kazuhiko Sato,Hiroshi Ishida. Владелец: Synaptics Display Devices GK. Дата публикации: 2015-06-18.

Method for fabricating lateral semiconductor device

Номер патента: US7589347B2. Автор: John Henry Jefferson,Geoffrey Richard Nash,Keith James Nash. Владелец: Qinetiq Ltd. Дата публикации: 2009-09-15.

Manufacturing method of semiconductor device

Номер патента: US20130230965A1. Автор: Gaku Sudo. Владелец: Individual. Дата публикации: 2013-09-05.

Method for forming FinFET devices

Номер патента: US09443729B1. Автор: Martin Christopher Holland,Blandine Duriez. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-09-13.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for manufacturing semiconductor device

Номер патента: US10395927B2. Автор: Cheng Long ZHANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-08-27.

Method for Manufacturing Semiconductor Device

Номер патента: US20190006179A1. Автор: Cheng Long ZHANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-01-03.

Method for forming semiconductor device structure

Номер патента: US09799665B2. Автор: Shih-Chang Liu,Chang-Ming Wu,Tsung-Hsueh Yang,Chung-Chiang Min. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Systems and methods for bonding semiconductor devices

Номер патента: US20240243006A1. Автор: ARKALGUD Sitaram,Scott LEFEVRE,Kevin Ryan,Ilseok Son,Panupong Jaipan. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-07-18.

Manufacturing method of semiconductor device

Номер патента: US11631694B2. Автор: Masaki Noguchi,Tatsunori Isogai. Владелец: Kioxia Corp. Дата публикации: 2023-04-18.

Method for forming a semiconductor device has a lengthened channel length

Номер патента: US20090124085A1. Автор: Hung-Ming Tsai,Ying Cheng CHUANG. Владелец: Nanya Technology Corp. Дата публикации: 2009-05-14.

Method for forming isolation layer in semiconductor device

Номер патента: US20030119266A1. Автор: Cheol Park. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2003-06-26.

Method for manufacturing a semiconductor device, as well as a semiconductor substrate

Номер патента: US20060234468A1. Автор: Takeshi Saito. Владелец: Seiko Epson Corp. Дата публикации: 2006-10-19.

Method for forming a semiconductor device

Номер патента: US09613805B1. Автор: Rudolf Berger,Werner Schustereder,Johannes Laven,Holger Schulze,Roman Baburske,Thomas Gutt. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-04-04.

Manufacturing method of semiconductor structure

Номер патента: US09583394B2. Автор: Chao-Hung Lin,Jyh-Shyang Jenq,Shih-Hung Tsai,Li-Wei Feng,Hon-Huei Liu,Nan-Yuan Huang. Владелец: United Microelectronics Corp. Дата публикации: 2017-02-28.

Method for forming isolation member in trench of semiconductor substrate

Номер патента: US09570338B2. Автор: Yan Yan,Jun Yang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-02-14.

Method for the insulation of polysilicon film in semiconductor device

Номер патента: US5376576A. Автор: Sang H. Park,Chang S. Moon,Dae I. Park. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1994-12-27.

Manufacturing method of semiconductor device

Номер патента: US20210272979A1. Автор: Masaki Noguchi,Tatsunori Isogai. Владелец: Kioxia Corp. Дата публикации: 2021-09-02.

Cured resin film, semiconductor device and method for producing semiconductor device

Номер патента: US20240352275A1. Автор: Masaya TOBA,Yu Aoki,Yuki IMAZU,Yoshimi HAMANO. Владелец: Resonac Corp. Дата публикации: 2024-10-24.

Method for forming buried bit line, semiconductor device having the same, and fabricating method thereof

Номер патента: US09837422B2. Автор: Jin-Ki Jung,You-Song Kim. Владелец: SK hynix Inc. Дата публикации: 2017-12-05.

Systems and methods for porous capping layers

Номер патента: GB2625513A. Автор: Clark Andrew,Hammond Richard. Владелец: IQE plc. Дата публикации: 2024-06-26.

Laser lift-off method for separating substrate and semiconductor-epitaxial structure

Номер патента: US11784094B2. Автор: Jing-Cheng Lin,Tsung-Hua Hsieh. Владелец: Sky Tech Inc. Дата публикации: 2023-10-10.

PROCESS FOR FORMING A BURIED LAYER AND A COLLECTOR REGION IN A MONOLITHIC SEMICONDUCTOR DEVICE

Номер патента: FR2575330B1. Автор: Salvatore Musumeci. Владелец: SGS Microelettronica SpA. Дата публикации: 1989-08-18.

Method for providing a deep connection to a substrate or buried layer in a semiconductor device

Номер патента: US7262111B1. Автор: Richard W. Foote. Владелец: National Semiconductor Corp. Дата публикации: 2007-08-28.

Backside and sidewall metallization of semiconductor devices

Номер патента: US20240194486A1. Автор: Wen Hung HUANG,Yufu Liu,Kuan-Hsiang Mao,Che Ming Fang. Владелец: NXP BV. Дата публикации: 2024-06-13.

Method for manufacturing semiconductor device

Номер патента: US20170076984A1. Автор: Hisashi Onodera. Владелец: Toshiba Corp. Дата публикации: 2017-03-16.

Method for manufacturing semiconductor device including inline inspection

Номер патента: US09406571B2. Автор: Takuya Yoshida,Kazutoyo Takano. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-08-02.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US09947553B2. Автор: Mamoru Yamagami,Yasuhiro Fuwa. Владелец: ROHM CO LTD. Дата публикации: 2018-04-17.

Semiconductor apparatus and method for producing the same

Номер патента: US09543252B2. Автор: Yoshiyuki Nakaki,Kei Yamamoto,Mamoru Terai,Shiori Idaka. Владелец: Mitsubishi Electric Corp. Дата публикации: 2017-01-10.

Semiconductor device and method for manufacturing the same

Номер патента: US20200105681A1. Автор: Ching-Hung Chang,Hsih-Yang Chiu. Владелец: Nanya Technology Corp. Дата публикации: 2020-04-02.

Method for forming a shielding layer on a semiconductor device

Номер патента: US20240332209A1. Автор: KyoWang Koo,JiSik MOON,Hyunseok Park. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2024-10-03.

Method for forming buried word line in semiconductor device

Номер патента: US20110027988A1. Автор: Sun-Hwan Hwang,Se-Aug Jang,Kee-Joon Oh,Soon-Young Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-03.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US9000560B2. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-04-07.

Anti-fuse array of semiconductor device and method for forming the same

Номер патента: US20150179526A1. Автор: Min Chul SUNG. Владелец: SK hynix Inc. Дата публикации: 2015-06-25.

Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO

Номер патента: US20070072424A1. Автор: Jung-hyun Lee,Sang-Bong Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-29.

Method for controlling sheet resistance of poly in fabrication of semiconductor device

Номер патента: US20090077509A1. Автор: Nan Soon CHOI. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2009-03-19.

Fabrication of semiconductor structures

Номер патента: US09704757B1. Автор: Daniele Caimi,Lukas Czornomaz,Jean Fompeyrine,Vladimir DJARA,Veeresh Deshpande. Владелец: International Business Machines Corp. Дата публикации: 2017-07-11.

Method for Forming a Superjunction Transistor Device

Номер патента: US20200044019A1. Автор: Franz Hirler,Armin Willmeroth,Wolfgang Jantscher,Yann Ruet. Владелец: Infineon Technologies Dresden GmbH and Co KG. Дата публикации: 2020-02-06.

Semiconductor package and method for forming the same

Номер патента: US20170117232A1. Автор: Tieh-Chiang Wu,Shing-Yih Shih. Владелец: Micron Technology Inc. Дата публикации: 2017-04-27.

Methods for plasma processing

Номер патента: US09443702B2. Автор: Stephen E. Savas,Carl Galewski,Allan B. Wiesnoski,Sai Mantripragada,Sooyun Joh. Владелец: AIXTRON SE. Дата публикации: 2016-09-13.

Low voltage high performance semiconductor devices and methods

Номер патента: US20010039096A1. Автор: Luan Tran. Владелец: Individual. Дата публикации: 2001-11-08.

A method for plating a support for a silicon wafer in the manufacture of semiconductor devices

Номер патента: GB1022604A. Автор: . Владелец: Texas Instruments Inc. Дата публикации: 1966-03-16.

Dispatch method for production line in semiconductor process, storage medium and semiconductor device

Номер патента: US11988969B2. Автор: Chin-Chang Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-21.

PROCESS FOR FORMING A LAYER OF A NATURAL OXIDE ON A SUBSTRATE AND SEMICONDUCTOR DEVICE THUS FORMED

Номер патента: FR2517121A1. Автор: John W Peters. Владелец: Hughes Aircraft Co. Дата публикации: 1983-05-27.

Semiconductor module and method for manufacturing the same

Номер патента: US11437302B2. Автор: Naoyuki Kanai,Yuichiro HINATA. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-09-06.

Processing method for substrate

Номер патента: US11823907B2. Автор: Kyung Park,Young Jun Kim,Won Jun JANG. Владелец: Wonik Ips Co Ltd. Дата публикации: 2023-11-21.

Processing method for substrate

Номер патента: US20240038537A1. Автор: Kyung Park,Young Jun Kim,Won Jun JANG. Владелец: Wonik Ips Co Ltd. Дата публикации: 2024-02-01.

Processing method for substrate

Номер патента: US20240038538A1. Автор: Kyung Park,Young Jun Kim,Won Jun JANG. Владелец: Wonik Ips Co Ltd. Дата публикации: 2024-02-01.

Semiconductor device and method of manufacturing the same

Номер патента: US9153658B2. Автор: Shigetoshi Sugawa,Akinobu Teramoto,Rihito Kuroda. Владелец: Tohoku University NUC. Дата публикации: 2015-10-06.

METHOD FOR LATERAL PATTERNING OF A PATTERN LAYER WITH THREE-DIMENSIONAL PATTERN ELEMENTS, AND SEMICONDUCTOR DEVICE

Номер патента: US20170092719A1. Автор: MANDL Martin,Schimpke Tilman. Владелец: . Дата публикации: 2017-03-30.

Method for forming thin films of semiconductor devices

Номер патента: US20030124760A1. Автор: Seok Lee,Sung Joo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-07-03.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Method for forming a silicide layer of semiconductor device

Номер патента: US20030119309A1. Автор: Jeong Lee,Chang Ryoo,Yong Sohn. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-26.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4160657A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-04-05.

Method for forming step channel of semiconductor device

Номер патента: US20060141800A1. Автор: Young Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-06-29.

Method for forming step channel of semiconductor device

Номер патента: US7309656B2. Автор: Young Man Cho. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-12-18.

Semiconductor device having a device isolation trench

Номер патента: US20070264789A1. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-11-15.

Method for forming thermal oxide film of semiconductor substrate

Номер патента: EP4148769A1. Автор: Tatsuo Abe,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-03-15.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Formation method of semiconductor device structure

Номер патента: US09870955B2. Автор: Che-Cheng Chang,Yi-Jen Chen,Yung-Jung Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Method for forming a capacitor of a semiconductor device

Номер патента: US20030109103A1. Автор: Kyong Kim,Ho-Jung Sun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-06-12.

Formation method of semiconductor device structure with gate stacks

Номер патента: US12132111B2. Автор: Tsung-Yu CHIANG,Ya-Wen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-29.

Manufacturing method for semiconductor device

Номер патента: US09412755B2. Автор: Kazuhiko Sato,Hiroshi Ishida. Владелец: Synaptics Display Devices GK. Дата публикации: 2016-08-09.

Methods for forming fine patterns of semiconductor device

Номер патента: US09627202B2. Автор: DAE-YONG KANG,Sung-Wook Hwang,Joonsoo PARK,Soonmok Ha,Byungjun Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-04-18.

Mechanism for forming metal gate structure

Номер патента: US09553161B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

Method for forming a gate for semiconductor devices

Номер патента: US6448166B2. Автор: Heung Jae Cho,Dae Gyu Park,Kwan Yong Lim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-09-10.

Fuse of Semiconductor Device and Method for Forming the Same

Номер патента: US20090236687A1. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-09-24.

Fuse of semiconductor device and method for forming the same

Номер патента: US8017454B2. Автор: Min Gu Ko. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-09-13.

Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants

Номер патента: US09552982B2. Автор: Adrien Lavoie. Владелец: Novellus Systems Inc. Дата публикации: 2017-01-24.

Fabrication method of semiconductor device

Номер патента: US7803716B2. Автор: Hiroshi Tanaka. Владелец: Renesas Electronics Corp. Дата публикации: 2010-09-28.

Cutting method and method of manufacturing semiconductor device

Номер патента: US20050012193A1. Автор: Kiyoshi Mita,Koujiro Kameyama. Владелец: Individual. Дата публикации: 2005-01-20.

Fabrication method of semiconductor device

Номер патента: US7439190B2. Автор: Hiroshi Tanaka. Владелец: Renesas Technology Corp. Дата публикации: 2008-10-21.

Fabrication method of semiconductor device

Номер патента: US20080299752A1. Автор: Hiroshi Tanaka. Владелец: Individual. Дата публикации: 2008-12-04.

Method for forming an oxynitride layer

Номер патента: US20030077915A1. Автор: Chia-Lin Ku,Yung-Hsien Wu. Владелец: Promos Technologies Inc. Дата публикации: 2003-04-24.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09837376B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2017-12-05.

Method for forming multi-layer film and patterning process

Номер патента: US09804492B2. Автор: Tsutomu Ogihara,Jun Hatakeyama. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2017-10-31.

Manufacturing method of semiconductor device and semiconductor device thereof

Номер патента: US09490231B2. Автор: Dong Jin Kim,Do Hyung Kim,Jin Han Kim,Glenn Rinne,Yeong Beom Ko. Владелец: Amkor Technology Inc. Дата публикации: 2016-11-08.

Package structure and method for fabricating same

Номер патента: US20240047437A1. Автор: Mingxing ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-08.

Method for fabricating semiconductor device

Номер патента: US09461172B2. Автор: HAIYANG Zhang,Jia Ren. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2016-10-04.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for forming slot on substrate and structure thereof

Номер патента: US20080263852A1. Автор: Kuang-Chun Chou,Shih-Ching Chang,Wu-Chang Wang. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2008-10-30.

Method for the formation of a planarizing coating film on substrate surface

Номер патента: US20010003068A1. Автор: Masakazu Kobayashi,Takako Hirosaki,Etsuko Iguchi. Владелец: Individual. Дата публикации: 2001-06-07.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US20160079102A1. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-03-17.

Method for forming metal gate

Номер патента: US20120244675A1. Автор: Chun-Yuan Wu,Chin-Cheng Chien,Chiu-Hsien Yeh,Yeng-Peng Wang. Владелец: United Microelectronics Corp. Дата публикации: 2012-09-27.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

Method for depositing silicon nitride layer of semiconductor device

Номер патента: US20050118814A1. Автор: Hyung Kim,Sung Jung,Yong Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2005-06-02.

Method of manufacturing semiconductor device

Номер патента: US20040115924A1. Автор: Min Yong Lee,Yong Seok Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-06-17.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Device and methods for characterization of semiconductor films

Номер патента: US20240264113A1. Автор: Michel DE KEERSMAECKER,Erin L. RATCLIFF,Neal R. Armstrong. Владелец: University of Arizona. Дата публикации: 2024-08-08.

Method for producing optoelectronic devices

Номер патента: US12112968B2. Автор: Ralph Wagner. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-10-08.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US09448065B2. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-09-20.

Protective structures for bond wires, methods for forming same, and test apparatus including such structures

Номер патента: US20020031847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-03-14.

Semiconductor structures and methods for forming the same

Номер патента: US12033933B2. Автор: Kaimin Lv. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-09.

Manufacturing method of semiconductor device

Номер патента: US12062552B2. Автор: Takashi Saito,Ryoichi Kato,Yuma Murata,Ryotaro Tsuruoka. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-08-13.

Method for fabricating a semiconductor device including fin relaxation, and related structures

Номер патента: EP3140858A1. Автор: Pierre Morin,Frederic Allibert. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-03-15.

Method for fabricating a semiconductor device including fin relaxation, and related structures

Номер патента: US09620626B2. Автор: Pierre Morin,Frederic Allibert. Владелец: STMicroelectronics lnc USA. Дата публикации: 2017-04-11.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Method for manufacturing semiconductor device

Номер патента: US20200144500A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-05-07.

Method for manufacturing semiconductor device

Номер патента: US20200403155A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-12-24.

Method for fabricating capacitor of semiconductor device

Номер патента: US20020061622A1. Автор: Young Chen. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-05-23.

Semiconductor device structure and method for forming the same

Номер патента: US20210313449A1. Автор: Pinyen Lin,Li-Te Lin,Han-Yu Lin,Tze-Chung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

A method for transferring and stacking of semiconductor devices

Номер патента: EP1252654A2. Автор: Eric Beyne,Staf Borghs,Raf Vandersmissen. Владелец: Umicore NV SA. Дата публикации: 2002-10-30.

Method for producing semiconductor device and semiconductor device

Номер патента: US09972722B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2018-05-15.

Method for producing semiconductor device and semiconductor device

Номер патента: US09502520B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-11-22.

Termination structure of semiconductor device and method for manufacturing the same

Номер патента: US09490134B2. Автор: Chun-Ying Yeh,Yuan-Ming Lee. Владелец: Super Group Semiconductor Co Ltd. Дата публикации: 2016-11-08.

Nanopyramid sized opto-electronic structure and method for manufacturing of same

Номер патента: US09444007B2. Автор: Olga Kryliouk,Nathan Gardner,Giuliano Portilho Vescovi. Владелец: GLO AB. Дата публикации: 2016-09-13.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US10373876B2. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2019-08-06.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US20180233416A1. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2018-08-16.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150303296A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-22.

Method for fabricating capacitor of semiconductor device

Номер патента: US7666738B2. Автор: Dong-Woo Shin,Jin-woong Kim,Jong-Min Lee,Hyung-Bok Choi. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-02-23.

Semiconductor device and fabrication method thereof

Номер патента: US11309318B2. Автор: JISONG Jin. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2022-04-19.

Method for Forming a Semiconductor Device and a Semiconductor Device

Номер патента: US20170229539A1. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-08-10.

Method for forming semiconductor device structure with gate

Номер патента: US20160190013A1. Автор: Po-Chi WU,Chai-Wei Chang,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-30.

Manufacturing method of semiconductor device

Номер патента: US20010053579A1. Автор: TAKESHI Toda,Yoshiro Goto. Владелец: NEC Corp. Дата публикации: 2001-12-20.

Method for manufacturing semiconductor elemental device

Номер патента: US20060177984A1. Автор: Koichi Kishiro. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2006-08-10.

Methods for improving the quality of structures comprising semiconductor materials

Номер патента: EP2364504A1. Автор: Chantal Arena. Владелец: Soitec SA. Дата публикации: 2011-09-14.

Method for preventing dishing during the manufacture of semiconductor devices

Номер патента: US09978647B2. Автор: Kuan-Liang Liu,Shih-Yin Hsiao. Владелец: United Microelectronics Corp. Дата публикации: 2018-05-22.

Method for forming a semiconductor device and a semiconductor device

Номер патента: US09911808B2. Автор: Hans-Joachim Schulze,Philipp Seng. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-06.

Method for forming semiconductor device structure with gate

Номер патента: US09799565B2. Автор: Po-Chi WU,Chai-Wei Chang,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-24.

Structure for relaxed SiGe buffers including method and apparatus for forming

Номер патента: US09752224B2. Автор: Zhiyuan Ye,Errol Antonio C. Sanchez,Xinyu Bao,Keun-Yong BAN. Владелец: Applied Materials Inc. Дата публикации: 2017-09-05.

Manufacturing method of semiconductor device

Номер патента: US09646829B2. Автор: Yuichi Sato,Junichi Koezuka,Shinji Ohno. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-05-09.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US09613927B2. Автор: Takeshi Sunaga,Akihiro Kimura,Akihiro Koga,Shouji Yasunaga. Владелец: ROHM CO LTD. Дата публикации: 2017-04-04.

Method for producing semiconductor device and semiconductor device

Номер патента: US09525038B2. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-12-20.

Wafer level package (WLP) and method for forming the same

Номер патента: US09520372B1. Автор: Shin-puu Jeng,Hsien-Wen Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-12-13.

Semiconductor device and method for forming the same

Номер патента: US20130115743A1. Автор: Huilong Zhu,Haizhou Yin,Zhijiong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-05-09.

Semiconductor device having a p-mos transistor with source-drain extension counter-doping

Номер патента: WO2007032897A3. Автор: Sinan Goktepeli,James D Burnett. Владелец: James D Burnett. Дата публикации: 2009-04-16.

Semiconductor device having a p-mos transistor with source-drain extension counter-doping

Номер патента: WO2007032897A2. Автор: Sinan Goktepeli,James D. Burnett. Владелец: FREESCALE SEMICONDUCTOR. Дата публикации: 2007-03-22.

Semiconductor Device and Method for Producing a Semiconductor Device

Номер патента: US20200185494A1. Автор: Rolf Weis,Ahmed Mahmoud,Richard Hensch. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2020-06-11.

Production method for device

Номер патента: US7648889B2. Автор: Kazuma Sekiya. Владелец: Disco Corp. Дата публикации: 2010-01-19.

Semiconductor device and method for assembling the same

Номер патента: US20020024125A1. Автор: Kazutaka Shibata. Владелец: ROHM CO LTD. Дата публикации: 2002-02-28.

Method for production of semiconductor device

Номер патента: US20030022433A1. Автор: Hirozaku Ejiri. Владелец: Sony Corp. Дата публикации: 2003-01-30.

Method for forming a capacitor in a semiconductor device

Номер патента: US20020094657A1. Автор: Woo-Seok Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-07-18.

Semiconductor device and method for forming the same

Номер патента: US20120007172A1. Автор: Seung Wan Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-01-12.

Semiconductor device and fabrication method for forming the same

Номер патента: US09847419B2. Автор: Fei Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-12-19.

Method for forming semiconductor device structure

Номер патента: US09786754B1. Автор: Chih-Ping Lin,Chung-Yeh Lee. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2017-10-10.

Methods for forming semiconductor device

Номер патента: US09633858B2. Автор: XINPENG WANG. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-04-25.

Methods for forming fins for metal oxide semiconductor device structures

Номер патента: US09607987B2. Автор: Tahir Ghani,Martin D. Giles. Владелец: Intel Corp. Дата публикации: 2017-03-28.

Method for semiconductor wafer alignment

Номер патента: US09601436B2. Автор: De-Fang Huang,Hsiao-Yi WANG,Shing-Kuei LAI,Wei-Yueh Tseng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-03-21.

Methods for forming FinFETs with non-merged epitaxial fin extensions

Номер патента: US09484440B2. Автор: Hong He,Shogo Mochizuki,Chun-Chen Yeh,Chiahsun Tseng,Yunpeng Yin. Владелец: Renesas Electronics Corp. Дата публикации: 2016-11-01.

Method for manufacturing semiconductor device having metal gate

Номер патента: US09443954B2. Автор: Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-13.

Fully and uniformly silicided gate structure and method for forming same

Номер патента: EP2089909A1. Автор: Haining Yang,Wai-Kin Li. Владелец: International Business Machines Corp. Дата публикации: 2009-08-19.

Hybrid material post-cmp brushes and methods for forming the same

Номер патента: EP3685428A1. Автор: Bradley Scott Withers,Erik Scott Nelson,Brent Allan Best,Yuyan Luo. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2020-07-29.

Hybrid material post-cmp brushes and methods for forming the same

Номер патента: EP4207261A1. Автор: Bradley Scott Withers,Erik Scott Nelson,Brent Allan Best,Yuyan Luo. Владелец: ILLINOIS TOOL WORKS INC. Дата публикации: 2023-07-05.

Hybrid material post-cmp brushes and methods for forming the same

Номер патента: WO2019060082A1. Автор: Bradley Scott Withers,Erik Scott Nelson,Brent Allan Best,Yuyan Luo. Владелец: ILLINOIS TOOL WORKS INC.. Дата публикации: 2019-03-28.

Packaging of Semiconductor Devices

Номер патента: US20160093544A1. Автор: Carl VAN BUGGENHOUT. Владелец: MELEXIS TECHNOLOGIES NV. Дата публикации: 2016-03-31.

Epitaxial process for forming semiconductor devices

Номер патента: US20130130461A1. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-05-23.

Semiconductor device and method for manufacturing partial SOI substrates

Номер патента: US20050023609A1. Автор: Ichiro Mizushima,Hajime Nagano. Владелец: Individual. Дата публикации: 2005-02-03.

Semiconductor device and method for making the same

Номер патента: US11652106B2. Автор: Tze-Liang Lee,Ting-Gang CHEN,Pei-Yu Chou,Yi-Ting Fu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-05-16.

Fully and uniformly silicided gate structure and method for forming same

Номер патента: US20090090986A1. Автор: Haining Yang,Wai-Kin Li. Владелец: International Business Machines Corp. Дата публикации: 2009-04-09.

Fully and uniformly silicided gate structure and method for forming same

Номер патента: US7863186B2. Автор: Haining Yang,Wai-Kin Li. Владелец: International Business Machines Corp. Дата публикации: 2011-01-04.

Semiconductor device and method for forming the same

Номер патента: US20240194769A1. Автор: Yu-Cheng Tung,Chia-Wei Wu. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2024-06-13.

Method for forming fine patterns in semiconductor device

Номер патента: US20030186547A1. Автор: YOON Hyun,Cha Koh. Владелец: Hynix Semiconductor Inc. Дата публикации: 2003-10-02.

Method for producing semiconductor device

Номер патента: US20170077267A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore PteLtd. Дата публикации: 2017-03-16.

Packaging of semiconductor devices

Номер патента: WO2014187996A1. Автор: Carl VAN BUGGENHOUT. Владелец: MELEXIS TECHNOLOGIES NV. Дата публикации: 2014-11-27.

Semiconductor device and method for making the same

Номер патента: US20230268347A1. Автор: Tze-Liang Lee,Ting-Gang CHEN,Pei-Yu Chou,Yi-Ting Fu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-24.

Improving mosfet performance by employing an improved method for forming halo implants

Номер патента: EP1188181A2. Автор: Rajesh Rengarajan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2002-03-20.

Packaging of semiconductor devices

Номер патента: EP2999660A1. Автор: Carl VAN BUGGENHOUT. Владелец: MELEXIS TECHNOLOGIES NV. Дата публикации: 2016-03-30.

Singulation metal mold and method for producing semiconductor device

Номер патента: US20080148540A1. Автор: Takumi Sato,Aki Suzuki. Владелец: Hitachi Cable Ltd. Дата публикации: 2008-06-26.

Metallizing process of semiconductor industry

Номер патента: US20010000496A1. Автор: Ting Wang,John Chu,Der-Tsyr Fan,Chon-Shin Jou. Владелец: Mosel Vitelic Inc. Дата публикации: 2001-04-26.

Apparatus and method for manufacturing semiconductor device

Номер патента: US20070116863A1. Автор: Kazunari Kimino. Владелец: Individual. Дата публикации: 2007-05-24.

Methods for forming dielectric layer in forming semiconductor device

Номер патента: US12080560B2. Автор: Xiaohong Zhou,Yonggang YANG. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-09-03.

Planarization process for forming semiconductor device structure

Номер патента: US09859165B1. Автор: Li-Chieh Wu,Hui-Chi Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-02.

Method for reducing loss of silicon cap layer over SiGe source/drain in a CMOS device

Номер патента: US09685382B1. Автор: Jialei Liu. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-06-20.

Method for fabricating a bipolar transistor having self-aligned emitter contact

Номер патента: US09508824B2. Автор: Alexander Fox,Bernd Heinemann,Steffen Marschmeyer. Владелец: IHP GMBH. Дата публикации: 2016-11-29.

Semiconductor device and method for forming the same

Номер патента: US09412621B2. Автор: Jae Bum Kim. Владелец: SK hynix Inc. Дата публикации: 2016-08-09.

Method for manufacturing semiconductor device using a gettering layer

Номер патента: US09385210B2. Автор: Hiroki Wakimoto. Владелец: Fuji Electric Co Ltd. Дата публикации: 2016-07-05.

Semiconductor device and method for making the same

Номер патента: US20240105467A1. Автор: Sanghyun SON,YoungUk Noh,Myungho JUNG. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2024-03-28.

Semiconductor Device and Method

Номер патента: US20230411318A1. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-21.

Semiconductor device and method

Номер патента: US11855017B2. Автор: Ming-Da Cheng,Po-Hao Tsai,Ting-Li Yang,Yung-Han Chuang,Hsueh-Sheng Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Semiconductor device and method for fabricating the same

Номер патента: US20230292495A1. Автор: Dong Soo Kim,Tae Kyun Kim. Владелец: SK hynix Inc. Дата публикации: 2023-09-14.

Method for manufacturing capacitor of semiconductor device

Номер патента: US7875515B2. Автор: Sang Man Bae,Hyoung Ryeun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-01-25.

Method for forming a DRAM semiconductor device with a sense amplifier

Номер патента: US20070148850A1. Автор: Dong Chul Koo. Владелец: Individual. Дата публикации: 2007-06-28.

Semiconductor optical devices and method for forming

Номер патента: US7494832B2. Автор: Yang Du,Leo Mathew,Voon-Yew Thean. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2009-02-24.

Method for the fabrication of bonding solder layers on metal bumps with improved coplanarity

Номер патента: US20130052817A1. Автор: Tim Hsiao. Владелец: WIN Semiconductors Corp. Дата публикации: 2013-02-28.

Method for producing wafer notches with rounded corners and a tool therefor

Номер патента: US20030089931A1. Автор: Richard Guldi,James Garvin,Moitreyee Mukerjee-Roy. Владелец: Individual. Дата публикации: 2003-05-15.

Mechanisms for forming metal-insulator-metal (mim) capacitor structure

Номер патента: US20160233158A1. Автор: Chia-Lun Hsu,Chi-Chung JEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-11.

Mechanisms for forming metal-insulator-metal (MIM) capacitor structure

Номер патента: US09960111B2. Автор: Chia-Lun Hsu,Chi-Chung JEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-05-01.

Apparatuses and methods for coupling a plurality of semiconductor devices

Номер патента: US20240290752A1. Автор: Timothy M. Hollis,Matthew B. Leslie,Roy E. Greeff. Владелец: Micron Technology Inc. Дата публикации: 2024-08-29.

Method for manufacturing semiconductor device

Номер патента: US09893194B2. Автор: Yoshitaka Yamamoto,Hideomi Suzawa,Takayuki Inoue,Tetsuhiro Tanaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-02-13.

Nanowire semiconductor device

Номер патента: US09608099B1. Автор: Effendi Leobandung,Tenko Yamashita,Wilfried E. Haensch. Владелец: International Business Machines Corp. Дата публикации: 2017-03-28.

Materials and structures for optical and electrical iii-nitride semiconductor devices and methods

Номер патента: US20210296516A1. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2021-09-23.

Materials and structures for optical and electrical III-nitride semiconductor devices and methods

Номер патента: US11631775B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2023-04-18.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09978894B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2018-05-22.

Method for transfer of semiconductor devices

Номер патента: US09871023B2. Автор: Andrew Huska,Cody Peterson,Clinton Adams,Sean Kupcow. Владелец: Rohinni LLC. Дата публикации: 2018-01-16.

Materials, structures, and methods for optical and electrical III-nitride semiconductor devices

Номер патента: US09608145B2. Автор: Robbie J. Jorgenson. Владелец: Individual. Дата публикации: 2017-03-28.

Method for forming metallization structure

Номер патента: US20170271173A1. Автор: Yu-Hsuan Ho. Владелец: Winbond Electronics Corp. Дата публикации: 2017-09-21.

Semiconductor devices having stressor regions and related fabrication methods

Номер патента: US20110303954A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2011-12-15.

Method for producing optoelectronic semiconductor devices

Номер патента: US09966370B2. Автор: Simon Jerebic,Frank Singer,Jürgen Moosburger,Markus Pindl. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2018-05-08.

Compositions and methods for semiconductor processing and devices formed therefrom

Номер патента: US09793188B2. Автор: Arjun Mendiratta. Владелец: Equity Solar Inc. Дата публикации: 2017-10-17.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Method for Forming Tantalum Nitride Film

Номер патента: US20090162565A1. Автор: Kyuzo Nakamura,Tomoyasu Kondo,Harunori Ushikawa,Satoru Toyoda,Narishi Gonohe. Владелец: Individual. Дата публикации: 2009-06-25.

Method for forming metal silicide layers

Номер патента: US09496432B2. Автор: Mónica ALEMÁN,Thierry Emeraud,Loic TOUS,Joachim John. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2016-11-15.

Method for fabricating thin photovoltaic cells

Номер патента: US09484487B2. Автор: Alex Masolin,Maria Recaman Payo. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2016-11-01.

Semiconductor device and production method therefor

Номер патента: US09425120B2. Автор: Akira Nagai,Kazutaka Honda,Makoto Satou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2016-08-23.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US8815652B2. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2014-08-26.

Method for producing optoelectronic semiconductor devices and optoelectronic semiconductor device

Номер патента: US09780078B2. Автор: Lutz Hoeppel. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2017-10-03.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US9023253B2. Автор: Xiaoli Liu,Delin Li,Ran Guo. Владелец: Soltrium Technology Ltd. Дата публикации: 2015-05-05.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150162481A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Conductive paste for front electrode of semiconductor device and method of manufacturing thereof

Номер патента: US20150159026A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Tech Ltd Shenzhen. Дата публикации: 2015-06-11.

Method for fabrication of semiconductor device

Номер патента: US12062722B2. Автор: Shunpei Yamazaki,Masahiro Takahashi,Tatsuya Honda,Takehisa Hatano. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2024-08-13.

System and method for operating an electric power converter

Номер патента: EP2590211A3. Автор: Robert Gregory Wagoner,Allen Michael Ritter,Paul Stephen Pate. Владелец: General Electric Co. Дата публикации: 2018-01-17.

Method for Forming a Semiconductor Device

Номер патента: US20230197831A1. Автор: Hans Mertens,Boon Teik CHAN,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method for Forming a Semiconductor Device

Номер патента: US20230197522A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Manufacturing method of semiconductor device

Номер патента: US20110183470A1. Автор: Ryosuke Watanabe. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-07-28.

Manufacturing method of semiconductor device

Номер патента: WO2007043285A9. Автор: Ryosuke Watanabe. Владелец: Ryosuke Watanabe. Дата публикации: 2007-06-07.

Method for manufacturing a semiconductor device

Номер патента: US09806187B2. Автор: Martin Poelzl. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2017-10-31.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

Fin shape contacts and methods for forming fin shape contacts

Номер патента: US09728615B2. Автор: Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-08.

Semiconductor device and method for manufacturing semiconductor device

Номер патента: US09385061B2. Автор: Hideyo Nakamura,Masafumi Horio. Владелец: Fuji Electric Co Ltd. Дата публикации: 2016-07-05.

Method for forming a semiconductor device having nanocrystal

Номер патента: US20120264277A1. Автор: Sung-taeg Kang,Jane A. Yater. Владелец: Individual. Дата публикации: 2012-10-18.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230015279A1. Автор: Deyuan Xiao,GuangSu SHAO,Weiping BAI. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-01-19.

Semiconductor device and method for fabrication thereof

Номер патента: EP1223622A3. Автор: Kazuaki c/o FUJITSU LIMITED KURIHARA,Kenji c/o Fujitsu Limited Maruyama. Владелец: Fujitsu Ltd. Дата публикации: 2004-07-28.

Semiconductor device with air gaps between adjacent conductive lines

Номер патента: US20220165662A1. Автор: Chin-Ling Huang. Владелец: Nanya Technology Corp. Дата публикации: 2022-05-26.

Method for manufacturing a semiconductor device

Номер патента: US09935235B2. Автор: Tadashi Yamaguchi. Владелец: Renesas Electronics Corp. Дата публикации: 2018-04-03.

Semiconductor device and fabrication method thereof

Номер патента: US09437709B2. Автор: De Yuan Xiao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2016-09-06.

Method of making semiconductor device

Номер патента: RU2648300C1. Автор: Такуя КАДОГУТИ,Наоя ТАКЭ. Владелец: Тойота Дзидося Кабусики Кайся. Дата публикации: 2018-03-23.

Method for passivating a through hole of a semiconductor plate

Номер патента: RU2745656C1. Автор: Александер ФРЕЙ. Владелец: АЦУР СПЭЙС Золяр Пауер ГмбХ. Дата публикации: 2021-03-30.

Method for manufacturing a capacitor of a semiconductor device

Номер патента: US6066540A. Автор: Young Jin Park,Seung Jin Yeom. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2000-05-23.

A method for forming a semiconductor device

Номер патента: EP4391039A1. Автор: Shairfe Muhammad Salahuddin,Boon Teik CHAN,Hsiao-Hsuan Liu. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Ball-type bonding wires for semiconductor devices and method for producing same

Номер патента: GB2174032A. Автор: Noriko Watanabe,Kazumichi Machida,Jitsuho Hirota. Владелец: Mitsubishi Electric Corp. Дата публикации: 1986-10-29.

Semiconductor devices and methods for forming the same

Номер патента: US20200194564A1. Автор: Chung-Yeh Lee,Sheng-Wei FU,Tsung-Yeh CHEN. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2020-06-18.

Wafer, semiconductor device and method for manufacturing the same

Номер патента: WO2020103873A1. Автор: Chih-Wei Chang,Changhao QUAN,Dingyou LIN. Владелец: CHANGXIN MEMORY TECHNOLOGIES, INC.. Дата публикации: 2020-05-28.

Semiconductor Device and Method for Manufacturing Semiconductor Device

Номер патента: US20110260165A1. Автор: Hideto Ohnuma. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-10-27.

Manufacturing method for a semiconductor device

Номер патента: US11462627B2. Автор: Xiang Peng,Haoyu Chen,Qiwei Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-10-04.

Radiation Detector and a Method for Forming a Semiconductor Device

Номер патента: US20180315882A1. Автор: Hans-Joachim Schulze,Johannes Hacker. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-11-01.

Method for forming nanowires including multiple integrated devices with alternate channel materials

Номер патента: US09831131B1. Автор: Ajey P. Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2017-11-28.

Semiconductor device and method for fabricating the same

Номер патента: US09761690B2. Автор: Chun-Mao Chiou,Chia-Fu Hsu,Shih-Chieh Hsu,Lung-En Kuo,Jian-Cun KE,You-Di Jhang. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Method for manufacturing a front electrode of a semiconductor device

Номер патента: US20160260851A1. Автор: Xiaoli Liu,Delin Li. Владелец: Soltrium Advanced Materials Technology Ltd Shenzhen. Дата публикации: 2016-09-08.

Semiconductor device and method for controlling semiconductor device

Номер патента: US20170026036A1. Автор: Akihiro Chiyonobu,Hironori KAWAMINAMI. Владелец: Fujitsu Ltd. Дата публикации: 2017-01-26.

A method for forming a semiconductor device

Номер патента: EP4391038A1. Автор: Boon Teik CHAN,Hsiao-Hsuan Liu,Pieter Schuddinck. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2024-06-26.

Production of stamps, masks or templates for semiconductor device manufacturing

Номер патента: US20100129735A1. Автор: Jelm Franse. Владелец: Singulus Mastering BV. Дата публикации: 2010-05-27.

Method for manufacturing capacitor array, capacitor array, and semiconductor device

Номер патента: US20230231007A1. Автор: Liutao ZHOU,Shuo Pan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-20.

Structures and methods for reducing stress in three-dimensional memory device

Номер патента: US20210050446A1. Автор: Jian Hua SUN,Ji XIA,Sizhe Li,Qinxiang Wei. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-02-18.

Microwave integrated circuit package and method for forming such package

Номер патента: US8153449B2. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2012-04-10.

Microwave integrated circuit package and method for forming such package

Номер патента: US20110223692A1. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2011-09-15.

Semiconductor device and method for fabricating thereof

Номер патента: US20220093532A1. Автор: Youn Soo Kim,Tae Kyun Kim,Jin-Su Lee,Hong Sik Chae,Youn Joung CHO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2022-03-24.

Microwave integrated circuit package and method for forming such package

Номер патента: EP2156467A1. Автор: Michael G. Adlerstein,Francois Y. Colomb. Владелец: Raytheon Co. Дата публикации: 2010-02-24.

Optoelectronic semiconductor component and method for producing the same

Номер патента: US12046696B2. Автор: Martin Behringer,Tansen Varghese,Alexander Tonkikh. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-07-23.

Semiconductor device and method for manufacturing same

Номер патента: US20080048228A1. Автор: Takashi Sakoh,Mami Toda. Владелец: NEC Electronics Corp. Дата публикации: 2008-02-28.

Semiconductor device and method for fabricating thereof

Номер патента: US12113035B2. Автор: Youn Soo Kim,Tae Kyun Kim,Jin-Su Lee,Hong Sik Chae,Youn Joung CHO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-10-08.

Method for controlling parameter using a process of semiconductor production

Номер патента: WO2002082529A1. Автор: Man-Bong Lee. Владелец: Jiwoo Techniques Korea. Дата публикации: 2002-10-17.

Method for forming semiconductor device

Номер патента: US09960167B1. Автор: Yu-Chieh Lin,Li-Wei Feng,Ying-Chiao Wang,Chien-Ting Ho. Владелец: Fujian Jinhua Integrated Circuit Co Ltd. Дата публикации: 2018-05-01.

Semiconductor device and method for making the same

Номер патента: US12142608B2. Автор: Tze-Liang Lee,Ting-Gang CHEN,Pei-Yu Chou,Yi-Ting Fu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-12.

Semiconductor device and method for fabricating the same

Номер патента: US20240145594A1. Автор: Po-Yu YANG. Владелец: United Microelectronics Corp. Дата публикации: 2024-05-02.

Method for forming capacitor, capacitor and semiconductor device

Номер патента: US12041763B2. Автор: GuangSu SHAO,Xingsong SU,Mengkang YU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2024-07-16.

Method for classifying semiconductor wafers

Номер патента: EP4182967A1. Автор: Cyrus Emil TABERY,Dimitra GKOROU,Vahid BASTANI,Reza SAHRAEIAN. Владелец: ASML Netherlands BV. Дата публикации: 2023-05-24.

Method for classifying semiconductor wafers

Номер патента: US20230316103A1. Автор: Cyrus Emil TABERY,Dimitra GKOROU,Vahid BASTANI,Reza SAHRAEIAN. Владелец: ASML Netherlands BV. Дата публикации: 2023-10-05.

Semiconductor device including vertical transistor and method for manufacturing the same

Номер патента: US20130126964A1. Автор: Kyoung Han LEE. Владелец: SK hynix Inc. Дата публикации: 2013-05-23.

Apparatus and method for secondary electron emission microscope

Номер патента: US20030205669A1. Автор: David Walker,David Adler,Fred Babian,Travis Wolfe. Владелец: KLA Tencor Corp. Дата публикации: 2003-11-06.

Power electronic devices with busbars and method for their fabrication

Номер патента: US20240055781A1. Автор: Benjamin Söhnle. Владелец: Audi AG. Дата публикации: 2024-02-15.

Detect the method for the osmotic state of the ion exchange polymer film of fuel cell

Номер патента: CN102792504B. Автор: G·帕加内利. Владелец: Conception et Developpement Michelin SA. Дата публикации: 2015-09-30.

Method for forming organic semiconductor thin film and method of manufacturing thin-film semiconductor device

Номер патента: US20100029040A1. Автор: Akihiro Nomoto. Владелец: Sony Corp. Дата публикации: 2010-02-04.

Modified polysilazane film and method for producing gas barrier film

Номер патента: US09512334B2. Автор: Yuta Suzuki,Satoshi Naganawa. Владелец: Lintec Corp. Дата публикации: 2016-12-06.

Method for forming metal composite films for battery cells

Номер патента: US12126032B2. Автор: Frederik Grau,Kartik Jamadar,Christian Theuerkauf. Владелец: VOLKSWAGEN AG. Дата публикации: 2024-10-22.

Systems, apparatus and methods for forming metal strips into dies

Номер патента: EP3843916A1. Автор: Petr PIRO,Nir RIKOVITCH,Tin To CHAN,Jordan LLOYD,Robert AMIRAULT. Владелец: Ontario Die International Inc. Дата публикации: 2021-07-07.

Optical semiconductor device and method for manufacturing the same

Номер патента: US20030160249A1. Автор: Yasutaka Sakata. Владелец: NEC Compound Semiconductor Devices Ltd. Дата публикации: 2003-08-28.

Anode and method for forming a zinc metal anode using molecular layer deposition

Номер патента: CA3123894A1. Автор: Jian Liu,Huibing He. Владелец: University of British Columbia. Дата публикации: 2021-09-16.

Method for forming laminated resin film and method for producing semiconductor device

Номер патента: US20110306185A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2011-12-15.

Method for fabricating a throughput-scalable analytical system for molecule detection and sensing

Номер патента: US20210296380A1. Автор: MEI Yan. Владелец: Genesense Technology Inc. Дата публикации: 2021-09-23.

Method for forming metal fluoride material

Номер патента: US09446966B2. Автор: Jon Shan. Владелец: Quantumscape Corp. Дата публикации: 2016-09-20.

Two-stage plunger press systems and methods for forming battery cell tabs

Номер патента: US20210280836A1. Автор: Robert H. Dietze,Alexandra J. Austin. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2021-09-09.

Analysis method, computer-readable medium, and manufacturing method of semiconductor device

Номер патента: US20240347315A1. Автор: Yusuke Shimizu. Владелец: Fuji Electric Co Ltd. Дата публикации: 2024-10-17.

Method for Manufacturing Thin Film Transistor

Номер патента: US20100105161A1. Автор: Katsura Hirai. Владелец: KONICA MINOLTA INC. Дата публикации: 2010-04-29.

Acrylic random copolymer for forming in situ peelable protective barrier films

Номер патента: US20240076430A1. Автор: Rebecca Bader,Jeremy Hyatt. Владелец: Sanipeel LLC. Дата публикации: 2024-03-07.

Systems and methods for operating high voltage switches

Номер патента: US09575124B2. Автор: William Chau,Brian Cheung,Darmin Jin. Владелец: SanDisk Technologies LLC. Дата публикации: 2017-02-21.

Arrangement and method for dynamic braking of a permanent magnet motor and an elevator utilizing thereof

Номер патента: US11855571B2. Автор: Mikko Paakkinen. Владелец: Kone Corp. Дата публикации: 2023-12-26.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US20080316790A1. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2008-12-25.

Gate driving circuit and method for driving semiconductor device

Номер патента: US09543928B2. Автор: Keisuke Yamashiro,Hiromu Takubo. Владелец: Fuji Electric Co Ltd. Дата публикации: 2017-01-10.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Methods for forming three-dimensional memory devices, and related structures

Номер патента: US20120199987A1. Автор: Nishant Sinha,Krishna K. Parat. Владелец: Micron Technology Inc. Дата публикации: 2012-08-09.

Semiconductor device and method for fabricating the same

Номер патента: US20240341206A1. Автор: Tae Jung Ha. Владелец: SK hynix Inc. Дата публикации: 2024-10-10.

Method for estimating threshold voltage of semiconductor device

Номер патента: US20070153586A1. Автор: Sang Hun Kwak. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-05.

Method for fabricating a semiconductor device

Номер патента: US20020110981A1. Автор: Hirofumi Watatani. Владелец: Fujitsu Ltd. Дата публикации: 2002-08-15.

Method for fabricating a semiconductor device

Номер патента: US20020019086A1. Автор: Hirofumi Watatani. Владелец: Fujitsu Ltd. Дата публикации: 2002-02-14.

Method for estimating threshold voltage of semiconductor device

Номер патента: US7660164B2. Автор: Sang Hun Kwak. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-02-09.

Method for fabricating semiconductor device

Номер патента: US20020045305A1. Автор: Ki Lee. Владелец: Individual. Дата публикации: 2002-04-18.

Semiconductor device and method for fabricating the same

Номер патента: US12089508B2. Автор: Hung-Chan Lin. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-10.

Semiconductor device and method for forming the same

Номер патента: US12022739B2. Автор: Chih-Wei Kuo. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

Method for epitaxial growth from the vapour phase of semiconductor materials

Номер патента: CA1296241C. Автор: Peter Michael Frijlink. Владелец: Philips Gloeilampenfabrieken NV. Дата публикации: 1992-02-25.

Method for forming resin articles

Номер патента: US5622811A. Автор: Hideho Ariyoshi,Yousuke Ogue. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1997-04-22.

Tungsten materials and a method for providing such materials

Номер патента: US3577227A. Автор: Gail F Davies. Владелец: US Department of Navy. Дата публикации: 1971-05-04.

Method for remediation of subterranean-formed metal-polymer complexes using peracetic acid

Номер патента: CA3157356A1. Автор: Scott Bailey,Andrew Bryce CONWAY. Владелец: Flex Chem Holding Co LLC. Дата публикации: 2021-04-15.

Vinyl alcohol based copolymer, method for preparing the same and gas barrier film comprising the same

Номер патента: US11905403B2. Автор: Moon Seok Chun,Kyung An KWON. Владелец: LG Chem Ltd. Дата публикации: 2024-02-20.

Vinyl alcohol-based copolymer, method for preparing same and gas barrier film comprising same

Номер патента: EP3766907A1. Автор: Moon Seok Chun,Kyung An KWON. Владелец: LG Chem Ltd. Дата публикации: 2021-01-20.

Vinyl Alcohol Based Copolymer, Method for Preparing the Same and Gas Barrier Film Comprising the Same

Номер патента: US20240124701A1. Автор: Moon Seok Chun,Kyung An KWON. Владелец: LG Chem Ltd. Дата публикации: 2024-04-18.

Method For The Detection Of Defects In Gas-Barrier Films Using Quantum Dots

Номер патента: US20130314698A1. Автор: Pickett Nigel,Gresty Nathalie. Владелец: NANOCO TECHNOLOGIES, LTD.. Дата публикации: 2013-11-28.

DEVICE AND METHOD FOR MELTING AND FORMING METAL IN VACUUM ENVIRONMENT

Номер патента: US20170113268A1. Автор: GO Dong Keun,GO Myoung Su. Владелец: . Дата публикации: 2017-04-27.

METHOD FOR MANUFACTURING COMPLEX FORM METAL ALLOY PARTS

Номер патента: FR3063663A1. Автор: Olivier Martin,Arnaud De Ponnat. Владелец: Mecachrome France SAS. Дата публикации: 2018-09-14.

Method for producing a formed metallic workpiece with armor

Номер патента: DE102011056480A1. Автор: Ernst-Peter Schmitz,Peter Kolbe,Thomas Korner. Владелец: GESENKSCHMIEDE SCHNEIDER GMBH. Дата публикации: 2013-06-20.

Coating composition and method for producing precoated steel sheets

Номер патента: US6018013A. Автор: Keiji Yoshida,Takashi Anyashiki,Kazumi Itou. Владелец: NKK Corp. Дата публикации: 2000-01-25.

Method for producing an organic solvent

Номер патента: US20220096978A1. Автор: Suguru Sassa,Tomoya Ohashi,Toyoshiro YOSHIDA. Владелец: Nissan Chemical Corp. Дата публикации: 2022-03-31.

System and method for induction fusing of thermoplastic composites

Номер патента: US20230382060A1. Автор: W. Robert Nelson,Audra Morgan. Владелец: Spirit AeroSystems Inc. Дата публикации: 2023-11-30.

Method for regulating uniformity in thickness of biaxially stretched tubular film of synthetic resin

Номер патента: JPS57174214A. Автор: Kazuo Kondo,Kakushi Maruyama. Владелец: Okura Industrial Co Ltd. Дата публикации: 1982-10-26.

Method for forming metal film

Номер патента: US20220403544A1. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2022-12-22.

Film forming apparatus for forming metal film and film forming method for forming metal film

Номер патента: US12110604B2. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2024-10-08.

Semiconductor device test system and method

Номер патента: US20080246505A1. Автор: Carsten Ohlhoff,Markus Kollwitz. Владелец: Qimonda AG. Дата публикации: 2008-10-09.

Film forming device and method for forming metal film using the same

Номер патента: US20200190685A1. Автор: Hirofumi Iisaka. Владелец: Toyota Motor Corp. Дата публикации: 2020-06-18.

On-wafer burn-in of semiconductor devices using thermal rollover

Номер патента: US20040119486A1. Автор: Charlie WANG,Hong Hou,Wenlin Luo. Владелец: Individual. Дата публикации: 2004-06-24.

Film forming apparatus for forming metal film and film forming method for forming metal film

Номер патента: US12123103B2. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2024-10-22.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: EP3500850A2. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2019-06-26.

Systems and methods for acoustic emission monitoring of semiconductor devices

Номер патента: US20230273159A1. Автор: Gal Shaul,Saar Yoskovitz,Ori NEGRI,Eduard Rudyk. Владелец: Augury Systems Ltd. Дата публикации: 2023-08-31.

Film formation apparatus and film formation method for forming metal film

Номер патента: US09677185B2. Автор: Hiroshi Yanagimoto,Yuki Sato,Motoki Hiraoka. Владелец: Toyota Motor Corp. Дата публикации: 2017-06-13.

Method for forming flexible transparent conductive film

Номер патента: US09506148B2. Автор: Hung-Tao CHEN,Chang-Shu Kuo,In-Gann Chen,Pei-Ying Hsieh. Владелец: National Cheng Kung University NCKU. Дата публикации: 2016-11-29.

Method for forming a thermal protective film

Номер патента: RU2662843C1. Автор: Хидео ЯМАСИТА. Владелец: Тойота Дзидося Кабусики Кайся. Дата публикации: 2018-07-31.

Temporary mechanical stabilization of semiconductor cavities

Номер патента: US20180086632A1. Автор: Joachim Mahler,Alfred Sigl,Daniel Porwol,Dominic Maier. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-03-29.

A multi-layered pipe and a method for forming a multi-layered pipe

Номер патента: EP3463858A1. Автор: Yogesh Sheshrao Deshmukh,Marinus Peter Kremer,Marco GORIS,Johannes Akkerman. Владелец: Wavin BV. Дата публикации: 2019-04-10.

Method and device for forming metal frames for reinforced concrete and metal frame formed therewith

Номер патента: EP1270110A3. Автор: Dante Bruno Priuli. Владелец: Schnell SpA. Дата публикации: 2004-01-02.

Temporary mechanical stabilization of semiconductor cavities

Номер патента: US09988262B2. Автор: Joachim Mahler,Alfred Sigl,Daniel Porwol,Dominic Maier. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2018-06-05.

Method for metalizing nanotubes through electrolysis

Номер патента: US09981074B1. Автор: David Mills,Christen Boyer. Владелец: Louisiana Tech Research Corp. Дата публикации: 2018-05-29.

Methods for forming metal fluoride film and for manufacturing optical device

Номер патента: US20120318663A1. Автор: Hideo Akiba. Владелец: Canon Inc. Дата публикации: 2012-12-20.

Method for forming metallic nitride film

Номер патента: US8524049B2. Автор: Fu-Hsing Lu,Mu-Hsuan Chan,Jiun-Huei Yang,Po-Lun Wu. Владелец: Individual. Дата публикации: 2013-09-03.

Method for forming metallic nitride film

Номер патента: US20090008241A1. Автор: Fu-Hsing Lu,Mu-Hsuan Chan,Jiun-Huei Yang,Po-Lun Wu. Владелец: Individual. Дата публикации: 2009-01-08.

Recyclable metallized barrier films and bags for packaging flowable materials

Номер патента: US20240246736A1. Автор: Paul Georgelos,Nicholas Farkas. Владелец: Liqui Box Corp. Дата публикации: 2024-07-25.

Method for forming ammonia

Номер патента: WO2007016343A3. Автор: Peter C Kong,Robert J Pink,Larry D Zuck. Владелец: Battelle Energy Alliance Llc. Дата публикации: 2007-09-27.

Method for observing tungsten plug of semiconductor device microscopically

Номер патента: US5989930A. Автор: Shu-Ying Lu,Fei-Chun Tseng. Владелец: United Microelectronics Corp. Дата публикации: 1999-11-23.

Low α-dose tin or tin alloy, and method for producing same

Номер патента: US09394590B2. Автор: Gaku Kanou. Владелец: JX Nippon Mining and Metals Corp. Дата публикации: 2016-07-19.

Method for producing a metal-based coating on a film of harm-structures attached to a support

Номер патента: WO2024180047A2. Автор: Ahmed Soliman. Владелец: CANATU OY. Дата публикации: 2024-09-06.

Method for producing a metal-based coating on a film of HARM-structures attached to a support

Номер патента: FI20235231A1. Автор: Ahmed Soliman. Владелец: CANATU OY. Дата публикации: 2024-08-28.

Apparatus and method for terminating probe apparatus of semiconductor wafer

Номер патента: US09910067B2. Автор: William A. Funk,Bryan J. Root. Владелец: Celadon Systems Inc. Дата публикации: 2018-03-06.

Method for producing gas barrier film

Номер патента: US09481010B2. Автор: Kazutoshi Murota. Владелец: KONICA MINOLTA INC. Дата публикации: 2016-11-01.

Systems and methods for additive manufacturing of metal nitride ceramics

Номер патента: US12042861B2. Автор: Sunil Bhalchandra Badwe. Владелец: 6K Inc. Дата публикации: 2024-07-23.

Bag component for a product, method for manufacturing a bag component, and forming tool

Номер патента: US20240317463A1. Автор: Denis Loewensberg. Владелец: B Braun Melsungen AG. Дата публикации: 2024-09-26.

Sulfatation method for metal recovery from sulfide ores

Номер патента: RU2252271C2. Автор: Соломон ФЛАКС. Владелец: ЙОМА КЕМИКАЛ ЭйЭс. Дата публикации: 2005-05-20.

Computer controlled curve tracer for extracting small and large signal parameters of semiconductor devices

Номер патента: US20030025520A1. Автор: Robert Fox,Steven Schein. Владелец: Individual. Дата публикации: 2003-02-06.

Systems and methods for modifying metal feedstock material

Номер патента: WO2024192159A1. Автор: Douglas C. Hofmann,Punnathat BORDEENITHIKASEM. Владелец: California Institute of Technology. Дата публикации: 2024-09-19.

Systems and Methods for Modifying Metal Feedstock Material

Номер патента: US20240309487A1. Автор: Douglas C. Hofmann,Punnathat BORDEENITHIKASEM. Владелец: California Institute of Technology. Дата публикации: 2024-09-19.

Method for efficient analysis of semiconductor failures

Номер патента: WO2001063619A3. Автор: Joerg Wohlfahrt,Dieter Rathei,Thomas Giegold. Владелец: Infineon Technologies Corp. Дата публикации: 2002-04-18.

Method for efficient analysis of semiconductor failures

Номер патента: WO2001063619A2. Автор: Joerg Wohlfahrt,Dieter Rathei,Thomas Giegold. Владелец: Infineon Technologies North America Corp.. Дата публикации: 2001-08-30.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001226A1. Автор: . Владелец: Sanken Electric Co., Ltd.. Дата публикации: 2012-01-05.

Method for pressurizing and forming metallic material

Номер патента: JPS6475199A. Автор: Akira Saito,Shoichi Sakota,Atsushi Yamazaki. Владелец: Fuarukoa Kk. Дата публикации: 1989-03-20.

Method for bending and forming metal pipe by placing steel balls inside

Номер патента: CN102228922B. Автор: 杨连发,石松朝. Владелец: Guilin University of Electronic Technology. Дата публикации: 2013-01-16.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

PROCESS FOR PRODUCING MULTILAYERED GAS-BARRIER FILM

Номер патента: US20120003500A1. Автор: . Владелец: MITSUBISHI PLASTICS, INC.. Дата публикации: 2012-01-05.

EVOH Barrier Film with Reduced Autoclave Shock

Номер патента: US20120000166A1. Автор: . Владелец: CRYOVAC, INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001168A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001332A1. Автор: TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001335A1. Автор: ENDO Yuta,TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001169A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE

Номер патента: US20120001300A1. Автор: Ito Takayuki,ISHIDA Tatsuya,Yoshino Kenichi,Naito Tatsuya. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

NITRIDE COMPOUND SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120002693A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

RESIN COMPOSITION FOR ENCAPSULATING SEMICONDUCTOR AND SEMICONDUCTOR DEVICE

Номер патента: US20120001350A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003811A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003823A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

METHOD FOR FORMING IMAGE SENSOR WITH SHIELD STRUCTURES

Номер патента: US20120003782A1. Автор: Byun Jeong Soo,Korobov Vladimir,Pohland Oliver. Владелец: . Дата публикации: 2012-01-05.

Semiconductor Light Emitting Device and Method for Manufacturing the Same

Номер патента: US20120001202A1. Автор: Horng Ray-Hua. Владелец: NATIONAL CHENG KUNG UNIVERSITY. Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003821A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

UNDERSIDE PROTECTIVE SHEET FOR SOLAR CELL, SOLAR CELL MODULE, AND GAS-BARRIER FILM

Номер патента: US20120000527A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

RECEIVER, SEMICONDUCTOR DEVICE, AND SIGNAL TRANSMISSION METHOD

Номер патента: US20120002771A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

SUSPENSION OF CELLULOSE FIBERS AND METHOD FOR PRODUCING THE SAME

Номер патента: US20120000392A1. Автор: Isogai Akira,Mukai Kenta,Kumamoto Yoshiaki. Владелец: . Дата публикации: 2012-01-05.

Solar Cell And Method For Manufacturing Solar Cell

Номер патента: US20120000512A1. Автор: HASHIMOTO Masanori,SAITO Kazuya,SHIMIZU Miho. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001177A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

Semiconductor Device and Method for Manufacturing the Same

Номер патента: US20120001180A1. Автор: Yoshizumi Kensuke,YOKOI Tomokazu. Владелец: . Дата публикации: 2012-01-05.

METHODS FOR SPUTTERING A RESISTIVE TRANSPARENT BUFFER THIN FILM FOR USE IN CADMIUM TELLURIDE BASED PHOTOVOLTAIC DEVICES

Номер патента: US20120000768A1. Автор: . Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120002285A1. Автор: Matsuda Manabu. Владелец: FUJITSU LIMITED. Дата публикации: 2012-01-05.

Large Area Nitride Crystal and Method for Making It

Номер патента: US20120000415A1. Автор: Speck James S.,"DEvelyn Mark P.". Владелец: Soraa, Inc.. Дата публикации: 2012-01-05.

SPUTTERING TARGETS INCLUDING EXCESS CADMIUM FOR FORMING A CADMIUM STANNATE LAYER

Номер патента: US20120000776A1. Автор: Feldman-Peabody Scott Daniel. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

Semiconductor Device Including Ultra Low-K (ULK) Metallization Stacks with Reduced Chip-Package Interaction

Номер патента: US20120001323A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

Semiconductor Device Comprising Through Hole Vias Having a Stress Relaxation Mechanism

Номер патента: US20120001330A1. Автор: Huisinga Torsten,Grillberger Michael,Hahn Jens. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

Method and Apparatus For Inspecting Defect Of Pattern Formed On Semiconductor Device

Номер патента: US20120002861A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MOISTURE RESISTANT COATING FOR BARRIER FILMS

Номер патента: US20120003484A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120003805A1. Автор: Lee Tae-Jung,PARK MYOUNG-KYU,Bang Kee-In. Владелец: . Дата публикации: 2012-01-05.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120000484A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

METHODS FOR ENHANCED PROCESSING CHAMBER CLEANING

Номер патента: US20120000490A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films

Номер патента: US20120004388A1. Автор: Hanson Eric L.. Владелец: Aculon, Inc.. Дата публикации: 2012-01-05.

TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME

Номер патента: US20120000519A1. Автор: FREY Jonathan Mack. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD AND SYSTEM FOR FORMING A PHOTOVOLTAIC CELL AND A PHOTOVOLTAIC CELL

Номер патента: US20120000529A1. Автор: . Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

Method for manufacturing thin film capacitor and thin film capacitor obtained by the same

Номер патента: US20120001298A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ENERGY STORAGE DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003535A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120003902A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

Method for Manufacturing Alloy Resistor

Номер патента: US20120000066A1. Автор: . Владелец: VIKING TECH CORPORATION. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ISOLATING A VIEWPORT

Номер патента: US20120000301A1. Автор: LITTLE Edwin Jackson,PAVOL Mark J.. Владелец: PRIMESTAR SOLAR. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING EASY OPEN END

Номер патента: US20120000340A1. Автор: Kubo Hiroshi,Kojima Katsumi,Yamanaka Yoichiro,Tada Masaki,Iwasa Hiroki. Владелец: JFE STEEL CORPORATION. Дата публикации: 2012-01-05.

IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME

Номер патента: US20120003776A1. Автор: Park Sang Hyuk. Владелец: Intellectual Ventures II LLC. Дата публикации: 2012-01-05.

IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001234A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SYSTEM AND METHOD FOR OPERATING RFID DEVICES ON SINGLE-USE CONNECTORS

Номер патента: US20120001731A1. Автор: . Владелец: GE HEALTHCARE BIOSCIENCE BIOPROCESS CORP.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE WHICH A PLURALITY OF TYPES OF TRANSISTORS ARE MOUNTED

Номер патента: US20120001265A1. Автор: . Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

METHOD OF PRODUCING SEMICONDUCTOR DEVICE

Номер патента: US20120003841A1. Автор: . Владелец: ULVAC, INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001342A1. Автор: . Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

HYDROPROCESSING CATALYSTS AND METHODS FOR MAKING THEREOF

Номер патента: US20120000821A1. Автор: Yang Shuwu,Reynolds Bruce Edward,Chabot Julie,Kou Bo. Владелец: CHEVRON CORPORATION. Дата публикации: 2012-01-05.

HYDROPROCESSING CATALYSTS AND METHODS FOR MAKING THEREOF

Номер патента: US20120004091A1. Автор: Chabot Julie,Kou Bo. Владелец: . Дата публикации: 2012-01-05.

HYDROPROCESSING CATALYSTS AND METHODS FOR MAKING THEREOF

Номер патента: US20120004097A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films

Номер патента: US20120003481A1. Автор: Hanson Eric L.. Владелец: Aculon, Inc.. Дата публикации: 2012-01-05.

METHOD FOR AUTOMATICALLY SHIFTING A BASE LINE

Номер патента: US20120004890A1. Автор: Chen Po-Tsang. Владелец: INOTERA MEMORIES, INC.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING A DROPLET DISCHARGE HEAD

Номер патента: US20120000595A1. Автор: Mase Atsushi,Shimizu Hideki,TANAKA Hidehiko. Владелец: NGK Insulators, Ltd.. Дата публикации: 2012-01-05.

METHOD FOR REPAIRING GAS TURBINE BLADES AND GAS TURBINE BLADE

Номер патента: US20120000890A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD FOR PRODUCING SOLID STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE

Номер патента: US20120001292A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

METHOD FOR PRODUCING ANISOPTROPIC BULK MATERIALS

Номер патента: US20120001368A1. Автор: Filippov Andrey V.,Milia Charlotte Diane. Владелец: . Дата публикации: 2012-01-05.

METHOD AND DEVICE FOR FORMING SURFACE PROCESSED DATA

Номер патента: US20120001908A1. Автор: Takahashi Kenji,Kikuta Mamoru,Miura Kenjiro,Uzuyama Daijiro. Владелец: . Дата публикации: 2012-01-05.

IMAGE FORMING APPARATUS AND METHOD FOR MAINTAINING HEAD

Номер патента: US20120001976A1. Автор: . Владелец: RICOH COMPANY, LTD.. Дата публикации: 2012-01-05.

APPARATUS AND METHOD FOR ATOMIC LAYER DEPOSITION

Номер патента: US20120003396A1. Автор: . Владелец: Nederlandse Organisatie voor toegepast-natuurweten schappelijk onderzoek TNO. Дата публикации: 2012-01-05.

BELTS FOR ELECTROSTATOGRAPHIC APPARATUS AND METHODS FOR MAKING THE SAME

Номер патента: US20120003415A1. Автор: FROMM Paul M.. Владелец: XEROX CORPORATION. Дата публикации: 2012-01-05.

REAGENT AND METHOD FOR PROVIDING COATINGS ON SURFACES

Номер патента: US20120004339A1. Автор: . Владелец: SURMODICS, INC.. Дата публикации: 2012-01-05.

SERIAL VALVES AND HUBS FOR TUBULAR DEVICES AND METHODS FOR MAKING AND USING THEM

Номер патента: US20120004622A1. Автор: . Владелец: AUST DEVELOPMENT, LLC. Дата публикации: 2012-01-05.

Tricalcium Phosphate Coarse Particle Compositions and Methods for Making the Same

Номер патента: US20120000394A1. Автор: Delaney David C.,Jalota Sahil,Yetkinler Duran N.. Владелец: . Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120000517A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Corrosion Protection Treatment

Номер патента: US20120000591A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

OPTICAL IMAGE ACQUISITION APPARATUS HAVING ADAPTIVE OPTICS AND CONTROL METHOD FOR THE SAME

Номер патента: US20120002165A1. Автор: Saito Kenichi. Владелец: CANON KABUSHIKI KAISH. Дата публикации: 2012-01-05.

Adjusting Method For Recording Condition And Optical Disc Device

Номер патента: US20120002527A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

DECORATION DEVICE AND METHOD FOR FABRICATING DECORATION DEVICE

Номер патента: US20120003426A1. Автор: . Владелец: SIPIX CHEMICAL INC.. Дата публикации: 2012-01-05.

Method For Producing A Porcelain Enamel Logo On A Porcelain Enamel Background On A Grill Component With Preselected Colors

Номер патента: US20120003445A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ELECTRODE MATERIAL AND METHOD FOR FORMING ELECTRODE MATERIAL

Номер патента: US20120003529A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Method for Anti-Corrosion Treatment

Номер патента: US20120003598A1. Автор: . Владелец: TESA SE. Дата публикации: 2012-01-05.

OPTICAL MODULATOR AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120003767A1. Автор: . Владелец: NEC Corporation. Дата публикации: 2012-01-05.

SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003781A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

System and Method for Joint Resurface Repair

Номер патента: US20120004663A1. Автор: . Владелец: ARTHROSURFACE INC.. Дата публикации: 2012-01-05.

Device and Method for Fixing a Component in Position on a Component Carrier

Номер патента: US20120000601A1. Автор: Fessler-Knobel Martin,Huttner Roland. Владелец: MTU AERO ENGINES GMBH. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

DESIGNING METHOD FOR DIMPLE PATTERN OF GOLF BALL

Номер патента: US20120004053A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Method for Uses of Protein Precursors as Prodrugs

Номер патента: US20120004398A1. Автор: Wang Yan,SHEN Wei-Chiang. Владелец: UNIVERSITY OF SOUTHERN CALIFORNIA. Дата публикации: 2012-01-05.