• Главная
  • Methods for forming vias of varying lateral dimensions and semiconductor components and assemblies including same

Methods for forming vias of varying lateral dimensions and semiconductor components and assemblies including same

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Method of manufacturing semiconductor structure and semiconductor structure

Номер патента: US20240040765A1. Автор: Yue Zhuo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-02-01.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230282517A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-07.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: EP4181182A1. Автор: Hiroshi Takeno,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-05-17.

Method for producing semiconductor apparatus and semiconductor apparatus

Номер патента: US20230230926A1. Автор: Hiroshi Takeno,Tsuyoshi Ohtsuki. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2023-07-20.

Method for manufacturing a semiconductor device and semiconductor device

Номер патента: US20210366764A1. Автор: Jochen Kraft,Georg Parteder,Raffaele Coppeta. Владелец: ams AG. Дата публикации: 2021-11-25.

Semiconductor device and semiconductor device preparation method

Номер патента: EP3933903A1. Автор: Pingheng WU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-01-05.

Semiconductor device and semiconductor device mounted structure

Номер патента: US8247898B2. Автор: Masahiro Ono. Владелец: Panasonic Corp. Дата публикации: 2012-08-21.

Method for forming hybrid bonding with through substrate via (tsv)

Номер патента: US20180005977A1. Автор: Jing-Cheng Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-04.

Method for Forming Semiconductor Structure and Semiconductor Structure

Номер патента: US20220293466A1. Автор: Dongxue Zhang,Ge-Wei Lin. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-15.

Semiconductor structure, method for manufacturing semiconductor structure and semiconductor package

Номер патента: US20110195568A1. Автор: Meng-Jen Wang,Chien-Yu Chen. Владелец: Individual. Дата публикации: 2011-08-11.

Conductive paste and method for producing ceramic substrate using the same

Номер патента: US6080335A. Автор: Yoshiki Nakagawa,Kazuhito Ohshita. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2000-06-27.

Preparation method for leads of semiconductor structure, and semiconductor structure

Номер патента: US12040269B2. Автор: Chung Yen Chou. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-16.

Method for manufacturing a semiconductor device, and semiconductor device

Номер патента: US9524940B2. Автор: Thomas Fischer,Carsten Ahrens,Andre Schmenn,Damian Sojka. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-12-20.

Packaged semiconductor assemblies and methods for manufacturing such assemblies

Номер патента: US20200243444A1. Автор: Suan Jeung Boon,Yong Poo Chia,Meow Koon Eng. Владелец: Micron Technology Inc. Дата публикации: 2020-07-30.

Packaged semiconductor assemblies and methods for manufacturing such assemblies

Номер патента: US20180158778A1. Автор: Suan Jeung Boon,Yong Poo Chia,Meow Koon Eng. Владелец: Micron Technology Inc. Дата публикации: 2018-06-07.

Packaged semiconductor assemblies and methods for manufacturing such assemblies

Номер патента: US20120241982A1. Автор: Chia Yong Poo,Boon Suan Jeung,Eng Meow Koon. Владелец: Micron Technology Inc. Дата публикации: 2012-09-27.

Method for manufacturing interconnects

Номер патента: WO2017217914A1. Автор: Johan Liu. Владелец: SHT Smart High-Tech AB. Дата публикации: 2017-12-21.

Methods for Forming Through Vias

Номер патента: US20130273698A1. Автор: Chen-Hua Yu,Hao-Yi Tsai,Mirng-Ji Lii,Da-Yuan Shih,Chih-Hang Tung,Tung-Liang Shao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-10-17.

Removable substrate tray and assembly and reactor including same

Номер патента: TWI662150B. Автор: 約翰 托勒,艾瑞克 希爾,馬修 古德曼. Владелец: 荷蘭商Asm智慧財產控股公司. Дата публикации: 2019-06-11.

Method for producing a pretreated composite substrate, and pretreated composite substrate

Номер патента: US20240055251A1. Автор: Florian Krippendorf,Constantin Csato. Владелец: MI2 Factory GmbH. Дата публикации: 2024-02-15.

System and method for performing simultaneous precision die bond of photonic components onto a single substrate

Номер патента: EP1547130A1. Автор: Dale Capewell. Владелец: Intel Corp. Дата публикации: 2005-06-29.

Method for forming conductive patterns using microwave

Номер патента: US20110185934A1. Автор: Jung-Ho Park,Joon-Hyung Kim. Владелец: LG Chem Ltd. Дата публикации: 2011-08-04.

Method for surface treatment of substrate and method for forming fine wiring

Номер патента: US20080311312A1. Автор: Jae-Woo Joung,Hyun-Chul Jung. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2008-12-18.

Method for surface treatment of substrate and method for forming fine wiring

Номер патента: US7776407B2. Автор: Jae-Woo Joung,Hyun-Chul Jung. Владелец: Samsung Electro Mechanics Co Ltd. Дата публикации: 2010-08-17.

Method for forming conductive patterns using microwave

Номер патента: US9006625B2. Автор: Jung-Ho Park,Joon-Hyung Kim. Владелец: LG Chem Ltd. Дата публикации: 2015-04-14.

Methods for forming semiconductor structures and semiconductor structures

Номер патента: US12051618B2. Автор: Yuchen Wang,Nianwang YANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12062610B2. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-13.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US12100670B2. Автор: Qiang Zhang,Mengmeng Wang,Hsin-Pin Huang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Semiconductor device and method for manufacturing same

Номер патента: US20150364427A1. Автор: Takashi KANSAKU. Владелец: Longitude Semiconductor SARL. Дата публикации: 2015-12-17.

Method for fabricating semiconductor structure, and semiconductor structure

Номер патента: US20240021518A1. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-18.

Forming method for semiconductor structure and semiconductor structure

Номер патента: US20220139763A1. Автор: Zhan Ying,Yuhan ZHU,Chuxian LIAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-05.

Formation method for air spacer layer and semiconductor structure

Номер патента: US20220020632A1. Автор: Jie Bai,Kang You. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-01-20.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20240250025A1. Автор: Kazuyuki Mitsukura,Masaya TOBA. Владелец: Resonac Corp. Дата публикации: 2024-07-25.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20230282572A1. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-07.

Method For Forming Semiconductor Structure And A Semiconductor

Номер патента: US20240268104A1. Автор: Chih-Cheng Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-08-08.

Methods for forming via hole and filling via hole in flexible substrate

Номер патента: US20240222141A1. Автор: Chao Zhou,Shuo Zhang,Tuo Sun,Kui Liang. Владелец: Beijing BOE Technology Development Co Ltd. Дата публикации: 2024-07-04.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US20230009114A1. Автор: Ling-Yi Chuang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-01-12.

Semiconductor device and semiconductor device manufacturing method

Номер патента: US20020127869A1. Автор: Taizo Oku,Junichi Aoki,Youichi Yamamoto,Takashi Koromokawa. Владелец: Individual. Дата публикации: 2002-09-12.

Method for forming vias in a substrate

Номер патента: US20090035931A1. Автор: Meng-Jen Wang. Владелец: Advanced Semiconductor Engineering Inc. Дата публикации: 2009-02-05.

Systems and methods for reducing via formation impact on electronic device formation

Номер патента: US12046481B2. Автор: Sean Matthew Garner,Rajesh Vaddi,Robert George Manley. Владелец: Corning Inc. Дата публикации: 2024-07-23.

Method for semiconductor die edge protection and semiconductor die separation

Номер патента: US11764096B2. Автор: Andrew M. Bayless,Brandon P. Wirz. Владелец: Micron Technology Inc. Дата публикации: 2023-09-19.

Methods for forming hole structure in semiconductor device

Номер патента: US11876016B2. Автор: Gang Yang,Jian Dai,Biao Zheng,Xiang Hui ZHAO,Zui Xin ZENG,Lianjuan Ren. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-01-16.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160322261A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-11-03.

Semiconductor dielectric structure and method for making the same

Номер патента: US20010023989A1. Автор: Milind Weling,Rao Annapragada. Владелец: Philips Electronics North America Corp. Дата публикации: 2001-09-27.

Method for mounting a semiconductor chip on a substrate and semiconductor device adapted for mounting on a substrate

Номер патента: US20010038152A1. Автор: I-Ming Chen. Владелец: Individual. Дата публикации: 2001-11-08.

Method for manufacturing metal wiring and method for manufacturing solid state imaging device

Номер патента: US20150263057A1. Автор: Masaki Kikuchi,Takuto Inoue,Masaharu Ogasawara. Владелец: Toshiba Corp. Дата публикации: 2015-09-17.

Method and device for producing a semiconductor component

Номер патента: US20230402412A1. Автор: Frank Schatz,Timo Schary,Daniel MONTEIRO DINIZ REIS,Mathias MEWS. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2023-12-14.

Semiconductor memory structure and method for forming the same

Номер патента: US11521975B2. Автор: Ying-Chu YEN. Владелец: Winbond Electronics Corp. Дата публикации: 2022-12-06.

Method for forming contact window

Номер патента: US20010046782A1. Автор: Chien-Li Kuo,Wei-Wu Liao. Владелец: Individual. Дата публикации: 2001-11-29.

Methods for forming hole structure in semiconductor device

Номер патента: US11817348B2. Автор: Gang Yang,Jian Dai,Biao Zheng,Xiang Hui ZHAO,Zui Xin ZENG,Lianjuan Ren. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-11-14.

Method for forming metal wiring

Номер патента: US20190088539A1. Автор: Tsutomu Nakanishi,Yusuke Tanaka,Akihiko Happoya,Atsushi Hieno,Yasuhito Yoshimizu. Владелец: Toshiba Memory Corp. Дата публикации: 2019-03-21.

System and method for manufacturing contact

Номер патента: US8828867B2. Автор: TAO Han,Jianguo Fan. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2014-09-09.

System and method for manufacturing contact

Номер патента: US20080138981A1. Автор: TAO Han,Jianguo Fan. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2008-06-12.

Method for manufacturing semiconductor device, and semiconductor device

Номер патента: US8101462B2. Автор: Shigehisa Tajimi. Владелец: Seiko Epson Corp. Дата публикации: 2012-01-24.

Method for forming thin film

Номер патента: US20230260794A1. Автор: Young Woon Kim,Il Hyong CHO. Владелец: Jusung Engineering Co Ltd. Дата публикации: 2023-08-17.

Method for manufacturing semiconductor device, and semiconductor device

Номер патента: US20080284013A1. Автор: Shigehisa Tajimi. Владелец: Seiko Epson Corp. Дата публикации: 2008-11-20.

Fabricating vias of different size of a semiconductor device by splitting the via patterning process

Номер патента: US20110104867A1. Автор: Thomas Werner,Frank Feustel,Kai Frohberg. Владелец: Individual. Дата публикации: 2011-05-05.

Method for forming a semiconductor structure

Номер патента: US20220367646A1. Автор: Yao-Wen Chang,Chern-Yow Hsu,Gung-Pei Chang,Ching-Sheng Chu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-17.

Method for fabricating semiconductor chip structures, semiconductor carrier and semiconductor chip structure

Номер патента: US20220359213A1. Автор: Tang-Chin HUNG. Владелец: Panelsemi Corp. Дата публикации: 2022-11-10.

Method for forming metal wires in semiconductor device

Номер патента: US20050233579A1. Автор: Ihl Cho. Владелец: Individual. Дата публикации: 2005-10-20.

Method for Forming Hole Pattern and Method for Manufacturing TFT Display Using the Same

Номер патента: US20160225801A1. Автор: Seong-jung YUN,Je-Geon YOO. Владелец: Hydis Technologies Co Ltd. Дата публикации: 2016-08-04.

Method for forming semiconductor structure with high aspect ratio

Номер патента: US20240047276A1. Автор: Tien-I Bao,Chih-tang Peng,Han-Pin Chung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-08.

Apparatus and Method to Remove At Least One Chip-Like Semiconductor Component from a Film

Номер патента: US20120211172A1. Автор: Armin Studt. Владелец: Semikron Elektronik GmbH and Co KG. Дата публикации: 2012-08-23.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US12051615B2. Автор: Mengzhu QIAO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-30.

Method for manufacturing semiconductor device and semiconductor device manufacturing system

Номер патента: US7846792B2. Автор: Masanori Terahara. Владелец: Fujitsu Semiconductor Ltd. Дата публикации: 2010-12-07.

Method for manufacturing bonded wafer

Номер патента: US20130102126A1. Автор: Hiroji Aga,Nobuhiko Noto,Satoshi Oka. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2013-04-25.

Method for Forming Isolation Layer in Semiconductor Devices

Номер патента: US20070166949A1. Автор: In Kyu Chun. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-07-19.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20170025321A1. Автор: Takeshi Watanabe,Masaya Shima,Soichi Homma,Yuusuke Takano,Katsunori Shibuya. Владелец: Toshiba Corp. Дата публикации: 2017-01-26.

System and method for recharagable battery module by combining cells of varying sizes

Номер патента: US20230074320A1. Автор: Mohammad S. Huq. Владелец: Individual. Дата публикации: 2023-03-09.

Method for producing a semiconductor component and semiconductor component produced by the same

Номер патента: CA2485561C. Автор: Dag Behammer. Владелец: UNITED MONOLITHIC SEMICONDUCTORS GMBH. Дата публикации: 2013-03-12.

Wet processing methods for manufacture of electronic components using liquids of varying temperature

Номер патента: CN1307545A. Автор: 史蒂文·维哈弗贝克. Владелец: CFMT Inc. Дата публикации: 2001-08-08.

Method for manufacturing semiconductor element, and semiconductor element body

Номер патента: US20220406641A1. Автор: Keiichiro Watanabe. Владелец: Kyocera Corp. Дата публикации: 2022-12-22.

Method for servering an epitaxially grown semiconductor body, and semiconductor chip

Номер патента: US20210217663A1. Автор: Sven GERHARD,Lars Nähle. Владелец: OSRAM OLED GmbH. Дата публикации: 2021-07-15.

Method for protecting an optoelectronic device from electrostatic discharges

Номер патента: US20240120296A1. Автор: Frederic Mayer,Xavier Hugon,Frederic Mercier,lvan-Christophe Robin. Владелец: Aledia. Дата публикации: 2024-04-11.

Single-cap via-in-pad and methods for forming thereof

Номер патента: US8772647B1. Автор: Chien Te Chen. Владелец: Marvell International Ltd. Дата публикации: 2014-07-08.

Methods and assemblies for tuning electronic modules

Номер патента: US20230014716A1. Автор: LU Wang,Joshua Bennett English. Владелец: NXP USA Inc. Дата публикации: 2023-01-19.

Microfeature assemblies including interconnect structures and methods for forming such interconnect structures

Номер патента: WO2007027417A2. Автор: Kia Heng Puah. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2007-03-08.

Microfeature assemblies including interconnect structures and methods for forming such interconnect structures

Номер патента: EP1938369A2. Автор: Kia Heng Puah. Владелец: Micron Technology Inc. Дата публикации: 2008-07-02.

Method for manufacturing semiconductor device, and semiconductor device

Номер патента: US20170309547A1. Автор: Akito Shimizu,Sadayuki Moroi,Kenji Nishikawa,Tomoo Imura. Владелец: Renesas Electronics Corp. Дата публикации: 2017-10-26.

Preparation method for connector, electronic device, connector and application thereof

Номер патента: EP4093159A1. Автор: Wenjie Xie. Владелец: Focalcrest Ltd. Дата публикации: 2022-11-23.

Method for manufacturing semiconductor device, and semiconductor device

Номер патента: US20150194368A1. Автор: Akito Shimizu,Sadayuki Moroi,Kenji Nishikawa,Tomoo Imura. Владелец: Renesas Electronics Corp. Дата публикации: 2015-07-09.

Method for fabricating semiconductor device

Номер патента: US20240222468A1. Автор: Han Sung Kim,Young Dae Cho,Hyung Dong Kim,Se Woung OH,Sang Mo KOO. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-04.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20230352317A1. Автор: Ling-Yi Chuang. Владелец: Individual. Дата публикации: 2023-11-02.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20170077040A1. Автор: Takeshi Watanabe,Yuusuke Takano. Владелец: Toshiba Corp. Дата публикации: 2017-03-16.

Protective structures for bond wires, methods for forming same, and test apparatus including such structures

Номер патента: US20020031847A1. Автор: Salman Akram. Владелец: Individual. Дата публикации: 2002-03-14.

Removal method, removal tool and semiconductor component

Номер патента: US20230402300A1. Автор: Michael Zitzlsperger. Владелец: Ams Osram International GmbH. Дата публикации: 2023-12-14.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150303296A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-10-22.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US12094723B2. Автор: Yuejiao Shu,Ming-Pu Tsai. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-17.

Method for producing semiconductor components, and semiconductor component

Номер патента: US20220246431A1. Автор: Florian Krippendorf,Constantin Csato. Владелец: MI2 Factory GmbH. Дата публикации: 2022-08-04.

Method for forming semiconductor structure and semiconductor structure

Номер патента: US20230079234A1. Автор: Junbo PAN,Jinghao WANG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-03-16.

Method for forming silicon oxide film and for manufacturing capacitor and semiconductor device

Номер патента: US20070218637A1. Автор: Yoshiko Harada,Naotada Ogura. Владелец: Yamaha Corp. Дата публикации: 2007-09-20.

Method for forming self-aligned double pattern and semiconductor structures

Номер патента: US12100593B2. Автор: Zhongming Liu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-24.

Method for forming semiconductor structure, and semiconductor structure

Номер патента: US20230119755A1. Автор: Qing LUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-04-20.

Substrate assembly of display device using light-emitting diode and method for manufacturing same

Номер патента: US20240297156A1. Автор: Jaeyong AN,Joodo Park. Владелец: LG ELECTRONICS INC. Дата публикации: 2024-09-05.

Method for manufacturing semiconductor structure, and semiconductor structure

Номер патента: EP4276894A1. Автор: Jie Bai,Kang You. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-11-15.

Process recipe, method and system for generating same, and semiconductor manufacturing method

Номер патента: US20230221702A1. Автор: Shaowen QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-13.

Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO

Номер патента: US20070072424A1. Автор: Jung-hyun Lee,Sang-Bong Bang. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2007-03-29.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20070020850A1. Автор: Masaru Takaishi. Владелец: Individual. Дата публикации: 2007-01-25.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20040191996A1. Автор: Masaru Takaishi. Владелец: ROHM CO LTD. Дата публикации: 2004-09-30.

Method for growing a semiconductor assembly and semiconductor assembly

Номер патента: US20230360908A1. Автор: Armin Dadgar,Florian Hörich. Владелец: Otto Von Guericke Universitaet Magdeburg. Дата публикации: 2023-11-09.

Semiconductor structure preparation method, semiconductor structure, and semiconductor memory

Номер патента: EP4177934A1. Автор: Pan Yuan,Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-05-10.

Manufacturing method of semiconductor device and semiconductor manufacturing apparatus

Номер патента: US20160079102A1. Автор: Kazuhiro Murakami,Shinya Fukayama,Yukifumi Oyama. Владелец: Toshiba Corp. Дата публикации: 2016-03-17.

Method of forming heat sink and semiconductor chip assemblies

Номер патента: US20020090760A1. Автор: Joseph Brand. Владелец: Individual. Дата публикации: 2002-07-11.

Three-dimensional memory devices and methods for forming the same

Номер патента: US12058858B2. Автор: Zhiliang Xia,Kun Zhang,Linchun Wu,Wenxi Zhou,Zongliang Huo. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2024-08-06.

System for cooling semiconductor component, method of manufacturing the same, and semiconductor package having the system

Номер патента: US12027445B2. Автор: Yun hwa CHOI. Владелец: JMJ Korea Co Ltd. Дата публикации: 2024-07-02.

Method for manufacturing semiconductor device

Номер патента: US20150179528A1. Автор: Shukun Yu,Qingsong WEI. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-06-25.

Pod and method for containing a reticle using the same

Номер патента: US20200243361A1. Автор: Ming-Chien Chiu,Hsin-Min Hsueh,Chia-Ho CHUANG. Владелец: Gudeng Precision Industrial Co Ltd. Дата публикации: 2020-07-30.

Methods and apparatus for forming resist pattern using EUV light with electric field

Номер патента: US11754925B2. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2023-09-12.

Methods and apparatus for forming resist pattern using euv light with electric field

Номер патента: US20220244646A1. Автор: Bu Geun KI. Владелец: SK hynix Inc. Дата публикации: 2022-08-04.

Method for manufacturing semiconductor device, and semiconductor device

Номер патента: US20160247682A1. Автор: Susumu Harada. Владелец: Nichia Corp. Дата публикации: 2016-08-25.

Method for fabricating semiconductor structure and semiconductor structure

Номер патента: US20230189508A1. Автор: Yi Jiang,Deyuan Xiao,GuangSu SHAO,Yunsong QIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-15.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US7855125B2. Автор: Takaoki Sasaki. Владелец: Seiko Epson Corp. Дата публикации: 2010-12-21.

Method for fabricating a semiconductor component

Номер патента: US20050118816A1. Автор: Franz Hirler,Markus Zundel. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2005-06-02.

Method for manufacturing a semiconductor element

Номер патента: US20060286733A1. Автор: Masahiro Hayashi,Akihiro Shiraishi,Takahisa Akiba. Владелец: Seiko Epson Corp. Дата публикации: 2006-12-21.

Method for forming semiconductor device having epitaxial channel layer using laser treatment

Номер патента: US20020001890A1. Автор: Jung-Ho Lee. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 2002-01-03.

Method for forming silicide of semiconductor device

Номер патента: US8105910B2. Автор: Hee-Jae Shin. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2012-01-31.

Method of grinding back surface of semiconductor wafer and semiconductor wafer grinding apparatus

Номер патента: SG131917A1. Автор: Tomoo Hayashi,Motoi Nezu. Владелец: Tokyo Seimitsu Co Ltd. Дата публикации: 2007-05-28.

Method for fabricating semiconductor devices

Номер патента: US20020106863A1. Автор: In-Chul Jung,Seung-Hoon Sa. Владелец: Hynix Semiconductor Inc. Дата публикации: 2002-08-08.

Method for forming silicon dots

Номер патента: US20100260944A1. Автор: Hirokazu Kaki,Eiji Takahashi,Atsushi Tomyo. Владелец: Nissin Electric Co Ltd. Дата публикации: 2010-10-14.

Semiconductor device manufacturing method and semiconductor device manufacturing by the same method

Номер патента: US20010018254A1. Автор: Ichiro Yamamoto. Владелец: NEC Corp. Дата публикации: 2001-08-30.

Method for manufacturing a semiconductor arrangement

Номер патента: US20230005877A1. Автор: Hans-Hermann Oppermann,Charles-Alix Manier. Владелец: Individual. Дата публикации: 2023-01-05.

Semiconductor device structure and method for forming the same

Номер патента: US20210313449A1. Автор: Pinyen Lin,Li-Te Lin,Han-Yu Lin,Tze-Chung Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-07.

Method for forming silicon-containing film, and silicon-containing film formed thereby

Номер патента: US20240318305A1. Автор: Jin Sik Kim,Byung Kwan KIM,Da Som YU. Владелец: UP Chemical Co Ltd. Дата публикации: 2024-09-26.

Method for producing semiconductor device and semiconductor device

Номер патента: US20150325665A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2015-11-12.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160380116A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-12-29.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160380099A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-12-29.

Method for forming semiconductor structure

Номер патента: US12034061B2. Автор: Yee-Chia Yeo,Chien-Wei Lee,Hsueh-Chang Sung,Yen-Ru LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Method for Forming Mixed Substrate

Номер патента: US20240186140A1. Автор: Tao Wang. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2024-06-06.

Method for controlling donor concentration in Ga2O3-based and method for forming ohmic contact

Номер патента: US9611567B2. Автор: Kohei Sasaki,Masataka Higashiwaki. Владелец: Tamura Corp. Дата публикации: 2017-04-04.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US11985807B2. Автор: Tao Liu,Sen Li,Qiang Wan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-05-14.

Semiconductor device manufacturing method and semiconductor device

Номер патента: US20070120185A1. Автор: Toshiaki Komukai,Hideaki Harakawa. Владелец: Individual. Дата публикации: 2007-05-31.

Method for manufacturing semiconductor device

Номер патента: US20160049522A1. Автор: Tomoaki Moriwaka. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2016-02-18.

Method for Forming Fin Structure in Fin Field Effect Transistor Process and Fin Structure

Номер патента: US20230170225A1. Автор: Xiaobo Guo. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-06-01.

Method for forming gate oxide layer in semiconductor device

Номер патента: US20050142770A1. Автор: Yong Choi. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2005-06-30.

Method for selectively etching a metal component

Номер патента: US20230420268A1. Автор: HAO Zhang,Di XU. Владелец: Microsoft Technology Licensing LLC. Дата публикации: 2023-12-28.

Method for fabricating array structure of columnar capacitor and semiconductor structure

Номер патента: US20230298899A1. Автор: Jun Xia,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-09-21.

Method for manufacturing a semiconductor device and semiconductor device

Номер патента: US20140131791A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2014-05-15.

Semiconductor component and method for fabricating it

Номер патента: US20020158308A1. Автор: Wolfgang Klein,Jakob Huber. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2002-10-31.

Semiconductor wafer for semiconductor components and production method

Номер патента: US20090051013A1. Автор: Hans-Joachim Schulze. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2009-02-26.

Display panel and method for forming micro component support

Номер патента: US10403493B2. Автор: Yi-Cheng Liu,Chin-Yuan Ho. Владелец: AU OPTRONICS CORP. Дата публикации: 2019-09-03.

Method for manufacturing semiconductor device

Номер патента: US20200144500A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-05-07.

Method for manufacturing semiconductor device

Номер патента: US20200403155A1. Автор: Soo Gil Kim,Joo Young MOON,Young Seok Ko. Владелец: SK hynix Inc. Дата публикации: 2020-12-24.

Method for fabricating a semiconductor device having a device isolation trench

Номер патента: US8338246B2. Автор: Song Hyeuk Im. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-12-25.

Method for forming semiconductor layer and method for manufacturing light emitting device

Номер патента: EP2360743A3. Автор: Sang Hoon Han,Dae Sung Kang. Владелец: LG Innotek Co Ltd. Дата публикации: 2015-11-18.

Method for forming semiconductor layer and method for manufacturing light emitting device

Номер патента: US20110195539A1. Автор: Sang Hoon Han,Dae Sung Kang. Владелец: LG Innotek Co Ltd. Дата публикации: 2011-08-11.

Transistor and method for forming the same

Номер патента: US20120104486A1. Автор: Huilong Zhu,Haizhou Yin,Zhijong Luo. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-05-03.

Method for forming an absorber layer of a thin film solar cell

Номер патента: US8569101B2. Автор: Tadahiro Kubota,Daisuke Okamura,Katustoshi Nosaki. Владелец: Honda Motor Co Ltd. Дата публикации: 2013-10-29.

Semiconductor wafer for semiconductor components and production method

Номер патента: US20110062558A1. Автор: Hans-Joachim Schulze. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2011-03-17.

Display panel and method for forming micro component support

Номер патента: US20180366320A1. Автор: Yi-Cheng Liu,Chin-Yuan Ho. Владелец: AU OPTRONICS CORP. Дата публикации: 2018-12-20.

Method for forming semiconductor device structure with gate

Номер патента: US20160190013A1. Автор: Po-Chi WU,Chai-Wei Chang,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-30.

Method for forming quantum dot

Номер патента: US20040053469A1. Автор: Sung-Eon Park. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-03-18.

Semiconductor devices and methods for forming semiconductor devices

Номер патента: US20040097048A1. Автор: Kwan Koh. Владелец: Anam Semiconductor Inc. Дата публикации: 2004-05-20.

Method for manufacturing capacitor array, capacitor array, and semiconductor device

Номер патента: US20230231007A1. Автор: Liutao ZHOU,Shuo Pan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-20.

Circuit board with a substrate made of silicon and the methods for forming the same

Номер патента: US20190191554A1. Автор: Wen Yao Chang. Владелец: Individual. Дата публикации: 2019-06-20.

Semiconductor structure and method for forming the same

Номер патента: US20240222460A1. Автор: Jhon-Jhy Liaw. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-04.

Method for manufacturing electric film body

Номер патента: US20140072780A1. Автор: Noboru Otabe,Takayasu SUGAI. Владелец: Micronics Japan Co Ltd. Дата публикации: 2014-03-13.

Method for forming semiconductor structures and semiconductor structure

Номер патента: US12089400B2. Автор: Minki HONG. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-09-10.

Method for forming semiconductor device and semiconductor device

Номер патента: US20230015279A1. Автор: Deyuan Xiao,GuangSu SHAO,Weiping BAI. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2023-01-19.

Method for fabricating a semiconductor component and semiconductor component

Номер патента: US20060252222A1. Автор: Ulrike Gruening-Von Schwerin. Владелец: Qimonda AG. Дата публикации: 2006-11-09.

Method for forming capacitor, capacitor and semiconductor device

Номер патента: US12041763B2. Автор: GuangSu SHAO,Xingsong SU,Mengkang YU. Владелец: Beijing Superstring Academy of Memory Technology. Дата публикации: 2024-07-16.

Manufacturing method for semiconductor structure, and semiconductor structure

Номер патента: EP4358140A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-04-24.

Wafer-level packaging method for semiconductor and semiconductor package

Номер патента: US20240258269A1. Автор: Lixin Zhao. Владелец: Galaxycore Shanghai Ltd Corp. Дата публикации: 2024-08-01.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US20220310619A1. Автор: Hai-Han Hung,Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-09-29.

Method for preparing semiconductor structure and semiconductor structure

Номер патента: US12048138B2. Автор: Tao Liu,Jun Xia,Penghui Xu,Sen Li,Qiang Wan,Kangshu ZHAN. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-07-23.

Method for producing semiconductor device and semiconductor device

Номер патента: US20160343879A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2016-11-24.

Method for forming image sensing device

Номер патента: US20110260345A1. Автор: Wei-Ping Chen,Jau-Jan Deng,Jui-Yi Chiu. Владелец: VisEra Technologies Co Ltd. Дата публикации: 2011-10-27.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20230053370A1. Автор: Jie Bai,Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-02-23.

Fabrication method for semiconductor device and semiconductor device

Номер патента: US9040410B2. Автор: XIN YANG. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2015-05-26.

Method and device for using a semiconductor component

Номер патента: US20220216298A1. Автор: Frank Schatz,Timo Schary,Daniel MONTEIRO DINIZ REIS,Mathias MEWS. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2022-07-07.

Fabrication Method For Semiconductor Device And Semiconductor Device

Номер патента: US20140145354A1. Автор: XIN YANG. Владелец: CSMC Technologies Fab2 Co Ltd. Дата публикации: 2014-05-29.

Optoelectronic semiconductor component and method for producing the same

Номер патента: US12046696B2. Автор: Martin Behringer,Tansen Varghese,Alexander Tonkikh. Владелец: OSRAM Opto Semiconductors GmbH. Дата публикации: 2024-07-23.

Method for monitoring production of pixel detectors and detectors produced thereby

Номер патента: US20050092986A1. Автор: Douglas Albagli,George Possin,Aaron Couture. Владелец: Individual. Дата публикации: 2005-05-05.

System and method for depositing underfill material

Номер патента: US20240038716A1. Автор: Choo Par Tan,Ee May Lim,Chee Ern NG. Владелец: Western Digital Technologies Inc. Дата публикации: 2024-02-01.

Method for manufacturing semiconductor and semiconductor

Номер патента: US20240194737A1. Автор: Jianfeng Gao,Junfeng Li,Jun Luo,Junjie Li,Na Zhou,Wenwu Wang,Enxu LIU. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2024-06-13.

Method for forming capacitor opening hole, and method for forming memory capacitor

Номер патента: EP4002504A1. Автор: ChihCheng LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-05-25.

Method for fabricating semiconductor structure and semiconductor structure

Номер патента: US20240090191A1. Автор: Yi Tang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-03-14.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US8815652B2. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2014-08-26.

Method for manufacturing semiconductor device, and semiconductor device

Номер патента: US9893108B2. Автор: Toshifumi Iwasaki. Владелец: Renesas Electronics Corp. Дата публикации: 2018-02-13.

Manufacturing method for semiconductor film, photodetector element, image sensor, and semiconductor film

Номер патента: US20220393126A1. Автор: Masahiro Takata,Masashi Ono. Владелец: Fujifilm Corp. Дата публикации: 2022-12-08.

Method for production of microchip card unit for contactless reading cards

Номер патента: RU2155379C2. Автор: Мундигл Йозеф,Удо Детлеф. Владелец: Сименс АГ. Дата публикации: 2000-08-27.

Method for producing a semiconductor device and semiconductor device

Номер патента: US11361983B2. Автор: Hubert Halbritter. Владелец: OSRAM OLED GmbH. Дата публикации: 2022-06-14.

Method for producing a composition for forming an organic film

Номер патента: US10047244B2. Автор: Tsutomu Ogihara,Motoaki Iwabuchi,Daisuke Kori. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2018-08-14.

Method for forming a matrix of led elements of different colours

Номер патента: US20240186365A1. Автор: Martin Andreas OLSSON. Владелец: Epinovatech AB. Дата публикации: 2024-06-06.

Method for manufacturing semiconductor mark, and semiconductor mark

Номер патента: US20220216163A1. Автор: Chuang Shan. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-07-07.

System and method for forming solder bumps

Номер патента: WO2021018466A1. Автор: Jae-Woong Nah,Jeng-Bang Yau,Peter Jerome Sorce,Eric Peter Lewandowski. Владелец: Ibm United Kingdom Limited. Дата публикации: 2021-02-04.

Semiconductor structure and method for forming the same

Номер патента: US20240258394A1. Автор: Hsin-Che Chiang,Wei-Chih Kao,Jyun-Hong Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-01.

Packaging structure and manufacturing method therefor, and semiconductor device

Номер патента: EP4307367A1. Автор: LIANG Chen,Kai Tian,Mingxing ZUO. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-17.

Method and device for optically representing electronic semiconductor components

Номер патента: US11754511B2. Автор: Jens Fiedler,Sebastian Giessmann. Владелец: Formfactor Inc. Дата публикации: 2023-09-12.

Component arrangement and method for determining the temperature in a semiconductor component

Номер патента: US20070200193A1. Автор: Wolfgang Horn. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-08-30.

Fabrication method for semiconductor device, exposure method, pattern correction method and semiconductor device

Номер патента: US7921386B2. Автор: Toshiyuki Ishimaru. Владелец: Sony Corp. Дата публикации: 2011-04-05.

Method and semiconductor module

Номер патента: WO2023151987A1. Автор: Jan Vobecky,Thomas Stiasny,Umamaheswara VEMULAPATI,Thomas Bernhard Gradinger,Jagoda Dobrzynska. Владелец: Hitachi Energy Switzerland AG. Дата публикации: 2023-08-17.

Semiconductor device having stacked capacitor and method for forming the same

Номер патента: US20240268094A1. Автор: Yen-Min RUAN. Владелец: Winbond Electronics Corp. Дата публикации: 2024-08-08.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20230225101A1. Автор: Xiaojie Li. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-07-13.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US12002864B2. Автор: Wei Wan,Pan Wang,Xuesheng Wang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-06-04.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US20220216312A1. Автор: Wei Wan,Pan Wang,Xuesheng Wang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-07-07.

Semiconductor structure and method for manufacturing same, and memory

Номер патента: US20230189506A1. Автор: Ning Xi. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-15.

A method for forming a contoured floating gate cell

Номер патента: EP1091392A3. Автор: Yun Chang,Chin-Yi Huang,Chih-Jen Huang,Samuel C. Pan,James Hsu. Владелец: Macronix International Co Ltd. Дата публикации: 2004-12-15.

Method for Producing an Optoelectronic Semiconductor Component and Optoelectronic Semiconductor Component

Номер патента: US20200220056A1. Автор: Christian Leirer,Isabel OTTO. Владелец: OSRAM OLED GmbH. Дата публикации: 2020-07-09.

Spintronic devices and method for injecting spin-polarized electrical currents into semi-conductors

Номер патента: WO2001099137A3. Автор: George Kirczenow. Владелец: George Kirczenow. Дата публикации: 2002-12-12.

Method for forming a semiconductor device having nanocrystal

Номер патента: US20120264277A1. Автор: Sung-taeg Kang,Jane A. Yater. Владелец: Individual. Дата публикации: 2012-10-18.

Method for producing semiconductor device and semiconductor device

Номер патента: US20140097494A1. Автор: Fujio Masuoka,Hiroki Nakamura. Владелец: Unisantis Electronics Singapore Pte Ltd. Дата публикации: 2014-04-10.

Array substrate and method for fabricating the same, and display device

Номер патента: US9356055B2. Автор: Shi Shu,Feng Zhang,Yonglian QI,Chuanxiang XU. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2016-05-31.

Methods for forming a memory cell having a top oxide spacer

Номер патента: US20120181601A1. Автор: Gang Xue,Kashmir Sahota,Chun Chen,Scott Bell,Wai Lo,Alexander Nickel,Shenqing Fang,Angela Hui. Владелец: Individual. Дата публикации: 2012-07-19.

Method for Manufacturing Image Sensor

Номер патента: US20070148976A1. Автор: Seong Jeong. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2007-06-28.

Ferroelectric field-effect transistor and a method for forming the same

Номер патента: WO2024177575A1. Автор: Kah-Wee Ang,Heng XIANG,Yu-Chieh CHIEN,Lingqi LI. Владелец: NATIONAL UNIVERSITY OF SINGAPORE. Дата публикации: 2024-08-29.

Method for manufacturing semiconductor structure and semiconductor structure

Номер патента: US20230121343A1. Автор: Shuai Guo. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-04-20.

Method for Forming a Semiconductor Device

Номер патента: US20230197831A1. Автор: Hans Mertens,Boon Teik CHAN,Eugenio Dentoni Litta. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Method for Forming a Semiconductor Device

Номер патента: US20230197522A1. Автор: Naoto Horiguchi,Julien Ryckaert,Boon Teik CHAN,Anne Vandooren. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2023-06-22.

Dual deck three-dimensional nand memory and method for forming the same

Номер патента: US20210225866A1. Автор: FENG Lu,Jing Gao,Wenbin Zhou. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2021-07-22.

Method for processing capacitive structure and semiconductor structure

Номер патента: US20220238638A1. Автор: Ang LIU. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2022-07-28.

Methods for forming a memory cell having a top oxide spacer

Номер патента: US20110233647A1. Автор: Gang Xue,Kashmir Sahota,Chun Chen,Scott Bell,Wai Lo,Alexander Nickel,Shenqing Fang,Angela Hui. Владелец: SPANSION LLC. Дата публикации: 2011-09-29.

Semiconductor component arrangement and method for producing thereof

Номер патента: US20140167155A1. Автор: Markus Zundel,Norbert Krischke. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2014-06-19.

Method for manufacturing ultrathin organic light-emitting device

Номер патента: US20160172629A1. Автор: Joo Young Lee,Eun Ho Choi,Hyun Hee Lee. Владелец: Corning Precision Materials Co Ltd. Дата публикации: 2016-06-16.

REMOVABLE SUBSTRATE TRAY AND ASSEMBLY AND REACTOR INCLUDING SAME

Номер патента: US20150267295A1. Автор: Tolle John,Hill Eric,Goodman Matthew. Владелец: ASM IP HOLDING B.V.. Дата публикации: 2015-09-24.

Method for forming via hole in substrate for flexible printed circuit board

Номер патента: EP1884147A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-02-06.

Method For Forming Via Hole in Substrate For Flexible Printed Circuit Board

Номер патента: US20080210661A1. Автор: Hideo Yamazaki,Kazuo Satoh. Владелец: 3M Innovative Properties Co. Дата публикации: 2008-09-04.

Method for locking/unlocking a removable module, and assembly for implementation

Номер патента: US11751352B2. Автор: Bastien PUERTOLAS,Jean François BOUSQUET. Владелец: LATELEC. Дата публикации: 2023-09-05.

Method for repairing and assembling contact image sensor module and structure thereof

Номер патента: US20050191914A1. Автор: Chi-Sheng Lin,Shao-Hwa Wang. Владелец: Asia Tech Image Inc. Дата публикации: 2005-09-01.

Display device and assembling method for the same

Номер патента: US20210307180A1. Автор: Chih-Hao Lu. Владелец: Pegatron Corp. Дата публикации: 2021-09-30.

Method for forming a wiring pattern by laser irradiation

Номер патента: US20120219918A1. Автор: Hua-Min Huang,Chien-Han Ho. Владелец: Cretec Co Ltd. Дата публикации: 2012-08-30.

Method for forming printing inspection data

Номер патента: WO2004017703A2. Автор: Takashi Katsuki,Yuji Otake,Takahiro Fukagawa. Владелец: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.. Дата публикации: 2004-02-26.

Method for transporting and installing an electronic device, and reusable transport and assembly module

Номер патента: US11297749B2. Автор: Joachim Froeschl. Владелец: Bayerische Motoren Werke AG. Дата публикации: 2022-04-05.

Top cap assembly and button-type secondary battery including same

Номер патента: EP4391164A1. Автор: Min Gyu Kim,Min Su Cho,Geun Young Park,Joo Hwan Sung. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-06-26.

Method for producing assembly, and assembly

Номер патента: US20170222203A1. Автор: Daizaburo Yashiki,Yosuke Tsukuda. Владелец: Sumitomo Chemical Co Ltd. Дата публикации: 2017-08-03.

Battery module, battery pack, powered device, and assembly method for battery module

Номер патента: US12074335B2. Автор: Haidong Zhang,Xiping LIAO. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2024-08-27.

Battery module, battery pack, powered device, and assembly method for battery module

Номер патента: US20210104800A1. Автор: Haidong Zhang,Xiping LIAO. Владелец: Contemporary Amperex Technology Co Ltd. Дата публикации: 2021-04-08.

Measuring method and semiconductor structure forming method

Номер патента: US11747131B2. Автор: Che-Hui Lee,Pradip Girdhar Chaudhari. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-05.

Method and assembly for thermal regulation of parts of an electrical vehicle

Номер патента: WO2021116723A1. Автор: Zoltan Andrassy,Farkas Rita Andrassyne. Владелец: HEATVENTORS KFT. Дата публикации: 2021-06-17.

Method for producing a plurality of semiconductor lasers and semiconductor laser

Номер патента: US20240047935A1. Автор: Sven GERHARD,Lars Nähle. Владелец: Ams Osram International GmbH. Дата публикации: 2024-02-08.

Assembling system of rubber cones of computer keyboards and assembling method for the same

Номер патента: US20020069521A1. Автор: Jerry Lin,Martin Wu. Владелец: Silitek Corp. Дата публикации: 2002-06-13.

Cold Forming Method for Forming Power Pins and Power Pin Formed Thereof

Номер патента: US20160336675A1. Автор: Manzhi Zhou,Guangdong Song. Владелец: Individual. Дата публикации: 2016-11-17.

Assembly method for electronic apparatus and assembly method for projection display apparatus

Номер патента: US20240190020A1. Автор: Yuji Shimada. Владелец: Seiko Epson Corp. Дата публикации: 2024-06-13.

Assembly method for electronic apparatus and assembly method for projection display apparatus

Номер патента: EP4387013A1. Автор: Yuji Shimada. Владелец: Seiko Epson Corp. Дата публикации: 2024-06-19.

High flux x-ray target and assembly

Номер патента: EP2201592A1. Автор: Manoharan Venugopal,Debasish Mishra,Harith Vadari,Mandyam Sridhar,Savio Sebastian,Mark Frontera. Владелец: General Electric Co. Дата публикации: 2010-06-30.

High flux x-ray target and assembly

Номер патента: WO2009038871A1. Автор: Manoharan Venugopal,Debasish Mishra,Harith Vadari,Mandyam Sridhar,Savio Sebastian,Mark Frontera. Владелец: GENERAL ELECTRIC COMPANY. Дата публикации: 2009-03-26.

Method for manufacturing insulation displacement connector and structure thereof

Номер патента: US20040092153A1. Автор: Yuan-Huei Peng. Владелец: Individual. Дата публикации: 2004-05-13.

Detachable outer shell for a shielded device connector, and method for disassembling or assembling thereof

Номер патента: US20230246394A1. Автор: Franklin A. Holub. Владелец: JST Corp. Дата публикации: 2023-08-03.

Method for Assembling Force Sensitive Capacitor

Номер патента: US20160225530A1. Автор: Chin-Fu Chang,Shang-Tai Yeh,Yu-Hao Chang,Ping Shiauw. Владелец: Egalax Empia Technology Inc. Дата публикации: 2016-08-04.

Method for assembling force sensitive capacitor

Номер патента: US10381163B2. Автор: Chin-Fu Chang,Shang-Tai Yeh,Yu-Hao Chang,Ping Siauw. Владелец: Egalax Empia Technology Inc. Дата публикации: 2019-08-13.

Method and circuit for operating a power semiconductor component

Номер патента: US20110309882A1. Автор: Christian Keller,Robert Oesterle. Владелец: CONVERTEAM TECHNOLOGY LTD. Дата публикации: 2011-12-22.

Method and apparatus for forming coating over inner wall of tube

Номер патента: WO2009147052A1. Автор: Gerhard Doell. Владелец: OSRAM GESELLSCHAFT MIT BESCHRANKTER HAFTUNG. Дата публикации: 2009-12-10.

Antenna for portable wireless communications system and a method for manufacturing the same

Номер патента: EP1145369A1. Автор: Eung-Soon Chang. Владелец: Individual. Дата публикации: 2001-10-17.

Method for Producing Metal Strips

Номер патента: US20070262123A1. Автор: Theodor Stuth. Владелец: Individual. Дата публикации: 2007-11-15.

Electrode assembly, secondary battery including same, and method for manufacturing same

Номер патента: US11777179B2. Автор: Hyun Ki Jeong,Min Yeol CHOI. Владелец: Samsung SDI Co Ltd. Дата публикации: 2023-10-03.

Method for forming laminated resin film and method for producing semiconductor device

Номер патента: US20110306185A1. Автор: Yukihiro Tsuji. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2011-12-15.

Method for Forming Pouch

Номер патента: US20240297377A1. Автор: Yong Su Choi,Sung Ryul KWON,Dong Gyun SEOL,Gi Beom KANG. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-09-05.

High-density field emission elements and a method for forming said emission elements

Номер патента: US20090280585A1. Автор: Seong Jin Koh,Gerald W. Gibson, Jr.. Владелец: Agere Systems LLC. Дата публикации: 2009-11-12.

Systems, devices, and methods for managing image capturing illumination for a mobile device

Номер патента: US20230171504A1. Автор: Pui Sun Wesley Ng. Владелец: Casetagram Ltd. Дата публикации: 2023-06-01.

Methods for securing data

Номер патента: EP3738063A1. Автор: William Weiss,David Michael BRIDGES. Владелец: Paperclip Inc. Дата публикации: 2020-11-18.

Methods for securing data

Номер патента: CA3087924C. Автор: William Weiss,David Michael BRIDGES. Владелец: Paperclip Inc. Дата публикации: 2023-09-05.

State of charge optimizing device and assembled battery system including same

Номер патента: US20090085520A1. Автор: Hiroya Murao. Владелец: Sanyo Electric Co Ltd. Дата публикации: 2009-04-02.

Method for the output of status data

Номер патента: CA2477746C. Автор: Ralf Widera,Cornelius Heidemann,Joachim Mende,Heinrich Dörken. Владелец: DEUTSCHE TELEKOM AG. Дата публикации: 2012-09-18.

System and method for identifying non-switching semiconductor switches

Номер патента: US20240146296A1. Автор: Wolfgang Koch,Matthias Ebert,Martin Roesler. Владелец: Leoni Bordnetz Systeme GmbH. Дата публикации: 2024-05-02.

Semiconductor structure forming method and semiconductor structure

Номер патента: EP4195253A1. Автор: Jingwen Lu. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-14.

Method for forming a semiconductor device with a single-sided buried strap

Номер патента: US20080268590A1. Автор: Neng-Tai Shih,Ming-Cheng Chang. Владелец: Nanya Technology Corp. Дата публикации: 2008-10-30.

Method for fabricating motors of different outputs using standardized parts

Номер патента: US20030127929A1. Автор: David Erdman,Subhash Brahmavar,Harold Harms. Владелец: Individual. Дата публикации: 2003-07-10.

Systems and methods for acoustic hole optimization

Номер патента: US20200213773A1. Автор: Michael Kuntzman,Sung Bok Lee,Wade Conklin,Vahid Naderyan. Владелец: Knowles Electronics LLC. Дата публикации: 2020-07-02.

System and Method for Remote Cross Platform Portable Simulcast Network

Номер патента: US20080162156A1. Автор: Gene S. Fein,Edward Merritt. Владелец: Voorhuis PLC LLC. Дата публикации: 2008-07-03.

Manufacturing method for semiconductor device, and semiconductor device

Номер патента: EP4203000A1. Автор: Jie Bai,Mengmeng Yang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2023-06-28.

Semiconductor device and method of manufacturing the same and semiconductor manufacturing device

Номер патента: US20080316790A1. Автор: Fumihiko Inoue,Kentaro Sera. Владелец: SPANSION LLC. Дата публикации: 2008-12-25.

Method for manufacturing semiconductor device and semiconductor device

Номер патента: US20100171110A1. Автор: Hiroshi Sato. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-07-08.

Method for thermal protection of frequency converter and a frequency converter

Номер патента: US20080316777A1. Автор: Antti Tarkiainen,Johanna Laukkanen,Ari Hyvärinen. Владелец: ABB Oy. Дата публикации: 2008-12-25.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US20060194394A1. Автор: Heung Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-08-31.

Mask ROM, method for fabricating the same, and method for coding the same

Номер патента: US7645672B2. Автор: Heung Jin Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2010-01-12.

System and methods for remote auditions with pace setting performances

Номер патента: US20240281746A1. Автор: Scott Humphrey,Frank Gryner,Brian S. BOYER. Владелец: Jammit Inc. Дата публикации: 2024-08-22.

System and methods for remote auditions with pace setting performances

Номер патента: US12079759B1. Автор: Scott Humphrey,Frank Gryner,Brian S. BOYER. Владелец: Jammit Inc. Дата публикации: 2024-09-03.

Method for manufacturing quartz piece

Номер патента: US20080000058A1. Автор: Takehiro Takahashi. Владелец: Nihon Dempa Kogyo Co Ltd. Дата публикации: 2008-01-03.

System and method for image data extraction and assembly in digital cameras

Номер патента: WO2009108588A1. Автор: George John. Владелец: MOTOROLA, INC.. Дата публикации: 2009-09-03.

Method for thermal protection of frequency converter and a frequency converter

Номер патента: US7729148B2. Автор: Antti Tarkiainen,Johanna Laukkanen,Ari Hyvärinen. Владелец: ABB Oy. Дата публикации: 2010-06-01.

Method for forming cells array of mask read only memory

Номер патента: US20020136989A1. Автор: Chun-Jung Lin. Владелец: Macronix International Co Ltd. Дата публикации: 2002-09-26.

Novel device and method for the treatment of temporomandibular joint disorder

Номер патента: US20220339020A1. Автор: Charles Sutera, III. Владелец: Individual. Дата публикации: 2022-10-27.

Molding die and plate-shaped optical component fabricated using same, and method for manufacturing same

Номер патента: US20180194038A1. Автор: Tomonobu Tokunaga. Владелец: KONICA MINOLTA INC. Дата публикации: 2018-07-12.

Arrangement for forming a layered fibrous mat of varied porosity

Номер патента: EP1179625A1. Автор: Kyung-Ju Choi. Владелец: AAF McQuay Inc. Дата публикации: 2002-02-13.

Arrangement for forming a layered fibrous mat of varied porosity

Номер патента: US6596205B1. Автор: Kyung-Ju Choi. Владелец: AAF McQuay Inc. Дата публикации: 2003-07-22.

Apparatus for forming a layered fibrous mat of varied porosity

Номер патента: US6908294B2. Автор: Kyung-Ju Choi. Владелец: AAF McQuay Inc. Дата публикации: 2005-06-21.

Apparatus and methods for producing foamed materials

Номер патента: EP2260073A2. Автор: Leslie J. Varga,Frank Burkus,Pascal Laborde. Владелец: Nordson Corp. Дата публикации: 2010-12-15.

DEVICE AND METHOD FOR EFFECTIVE NON-INVASIVE NEUROSTIMULATION BY MEANS OF VARYING STIMULUS SEQUENCES

Номер патента: US20170368342A1. Автор: TASS Peter Alexander,ZEITLER Magteld. Владелец: . Дата публикации: 2017-12-28.

System and method for cathodic protection of a subsea well-assembly

Номер патента: AU2011265325A1. Автор: Richard Knox. Владелец: Vetco Gray LLC. Дата публикации: 2012-07-05.

Devices and methods for packing

Номер патента: US8757384B2. Автор: Wai Kek Ooi,Hwa Bee Chuah. Владелец: Dell Products LP. Дата публикации: 2014-06-24.

Noninvasive method for determining the liquid level and density inside of a container

Номер патента: US6053041A. Автор: Dipen N. Sinha. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2000-04-25.

Method for estimating optical flow

Номер патента: EP1047019A3. Автор: Sebastien Roy. Владелец: NEC Corp. Дата публикации: 2003-10-29.

METHOD FOR THE ERECTION OF RETICULAR SUPPORTING STRUCTURES PARTICULARLY OF LARGE AND VERY BIG DIMENSIONS AND SIGNATELY MACRO STRUCTURES

Номер патента: IT1013571B. Автор: . Владелец: Bini Dante. Дата публикации: 1977-03-30.

Method for processing solid material for disposal in an underground porous formation

Номер патента: US5431236A. Автор: Jasper N. Warren. Владелец: Individual. Дата публикации: 1995-07-11.

Method for manufacturing a porous ceramic structure

Номер патента: US20050046063A1. Автор: Yasushi Noguchi,Yukihisa Wada,Yumi Toda. Владелец: NGK Insulators Ltd. Дата публикации: 2005-03-03.

Anti-stretch mark skin cream and method for making and using thereof

Номер патента: WO2013044251A1. Автор: Konstantinos M. Lahanas,Amy Newburger. Владелец: Lahanas Konstantinos M. Дата публикации: 2013-03-28.

Preparation method for propylene epoxidation catalyst and use thereof

Номер патента: US11918987B2. Автор: YUAN Li,Lei Wang,Kang Sun,Fei Ye,Tongji WANG,Naibo CHU,Qiankun JIAO. Владелец: Wanhua Chemical Group Co Ltd. Дата публикации: 2024-03-05.

System and method for dewatering oil/water sludge

Номер патента: EP2870114A1. Автор: Kaj Joensen. Владелец: Faroe Maritime Technic. Дата публикации: 2015-05-13.

Method for preparing acrylic acid

Номер патента: EP4361124A1. Автор: Dae Young Shin,Mi Kyung Kim,Byeong Gil LYU,Da Bin Jung. Владелец: LG Chem Ltd. Дата публикации: 2024-05-01.

Multi-arm robotic systems and methods for monitoring a target or performing a surgical procedure

Номер патента: EP4351467A1. Автор: Yizhaq SHMAYAHU,Noam Weiss. Владелец: Mazor Robotics Ltd. Дата публикации: 2024-04-17.

System and method for cleaning meat processing equipment and machinery

Номер патента: US20240149310A1. Автор: Ronald J. CURNETT,Craig E. Feeler,Chad Wheeler. Владелец: Hantover Inc. Дата публикации: 2024-05-09.

System and method for probabilistically forecasting health of hardware in a large-scale system

Номер патента: US11915160B2. Автор: Jitendra Singh,Rahul Deo Vishwakarma. Владелец: EMC IP Holding Co LLC. Дата публикации: 2024-02-27.

Well curb device and method for controlling the same

Номер патента: US20190203447A1. Автор: FAN YANG,XIN Wang,Xuelin Han. Владелец: BOE Technology Group Co Ltd. Дата публикации: 2019-07-04.

System, device and method for preventing hand-to-face contact

Номер патента: US12014646B2. Автор: Michael Krantz. Владелец: Individual. Дата публикации: 2024-06-18.

System and method for providing access to detailed payment experience

Номер патента: CA2557675A1. Автор: Richard A. Ferrera,Norman Bahnck, Jr.. Владелец: Individual. Дата публикации: 2005-10-06.

Hole shutter component and door lock assembly including it

Номер патента: CN104963556B. Автор: 张泽. Владелец: Beijing Fruit Plus Intelligent Technology Co Ltd. Дата публикации: 2019-04-30.

System and method for tire contact patch optimization

Номер патента: EP4247648A1. Автор: Chidambaram Subramanian. Владелец: Volvo Truck Corp. Дата публикации: 2023-09-27.

Method for printing colour images

Номер патента: EP3237973A1. Автор: Francis Clube,Christian Dais,Harun H. Solak. Владелец: EULITHA AG. Дата публикации: 2017-11-01.

Method and assembly for testing stress levels in a cross section of a pneumatic tire

Номер патента: US20030159502A1. Автор: Pierre Augier. Владелец: Individual. Дата публикации: 2003-08-28.

Conditioning assembly, method for manufacturing the same, and assembled conditioner using the same

Номер патента: US20240001508A1. Автор: Ying-Tung Chen. Владелец: YTDIAMOND Co Ltd. Дата публикации: 2024-01-04.

Method for installing an external line on a deployed drilling riser

Номер патента: WO2015019176A2. Автор: John H. Cohen,Christian BORS. Владелец: AGR Subsea, A.S.. Дата публикации: 2015-02-12.

Piston operating assembly for a linear compressor and method for manufacturing the same

Номер патента: US6761543B2. Автор: Kyung-Shik Choi,Chal-gi Jo. Владелец: Samsung Gwangju Electronics Co Ltd. Дата публикации: 2004-07-13.

Electrolytic method for producing ammonia

Номер патента: RU2686465C2. Автор: Эгилль СКУЛАСОН. Владелец: Хасколи Айлэндз. Дата публикации: 2019-04-26.

An apparatus and method for forming an opening in a storage tank

Номер патента: EP2069114A1. Автор: Philip Quinan,Russell Thorpe,Dale Mclntyre Timms. Владелец: Zestco Pty Ltd. Дата публикации: 2009-06-17.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: CA2592524A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Individual. Дата публикации: 2006-07-13.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: EP1831002A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Bausch and Lomb Inc. Дата публикации: 2007-09-12.

Core locking assembly and method for orientation of asymmetric tooling

Номер патента: WO2006073575A1. Автор: Bruce E. Lawton,Daniel P. Barrows. Владелец: Bausch & Lomb Incorporated. Дата публикации: 2006-07-13.

Torque enhancer device for grasping and tooling, and assemblies and uses thereof

Номер патента: US20230053731A1. Автор: Eliot Robert Gitman,Tuvia Gitman. Владелец: ScalPal LLC. Дата публикации: 2023-02-23.

Method for assembling a tiled, flat-panel microdisplay array

Номер патента: WO2004027496A1. Автор: J. Peter Krusius,Donald P. Seraphim. Владелец: Micro Displays, Inc.. Дата публикации: 2004-04-01.

Rear projection display and assembly method for same

Номер патента: WO2007040823A1. Автор: Kathleen Hannon Davies,Jon H. Appleby,Paul D. Frey. Владелец: N-Lighten Technologies. Дата публикации: 2007-04-12.

Cold forging apparatus and method for forming complex articles

Номер патента: US20040089048A1. Автор: RAY Travis,Paul Stone. Владелец: Individual. Дата публикации: 2004-05-13.

Cold forging apparatus and method for forming complex articles

Номер патента: WO2004043624A3. Автор: RAY Travis,Paul Stone. Владелец: Paul Stone. Дата публикации: 2004-12-09.

Cold forging apparatus and method for forming complex articles

Номер патента: WO2004043624A2. Автор: RAY Travis,Paul Stone. Владелец: Paul Stone. Дата публикации: 2004-05-27.

System and method for matching and assembling records

Номер патента: WO2004077236A3. Автор: Ramamohan Paturi,Zunaid H Kazi,Christopher D Rosin,Holden P Robbins,Mark W S Land. Владелец: Parity Computing Inc. Дата публикации: 2004-11-18.

Apparatus and method for forming molded products

Номер патента: US20210339438A1. Автор: Eric Bowman,Derek Hodges. Владелец: Individual. Дата публикации: 2021-11-04.

Method for forming carbon-carbon bond

Номер патента: EP3971156A1. Автор: Hironao Sajiki,Shinji Nakamura,Hitoshi Takada,Tsuyoshi Yamada,Yoshinari Sawama. Владелец: Organo Corp. Дата публикации: 2022-03-23.

System and Method For Making Tapered Looped Suture

Номер патента: US20150223808A1. Автор: Nicholas Maiorino,Timothy Kosa,Mark Buchter,Keith Kroeber,Richard Casey Hart,Gary Suszynski. Владелец: COVIDIEN LP. Дата публикации: 2015-08-13.

Apparatus and method for forming molded products

Номер патента: US20210323203A1. Автор: Eric Bowman,Derek Hodges. Владелец: Individual. Дата публикации: 2021-10-21.

Method for forming thin film

Номер патента: WO2003041142A1. Автор: Won-Yong Koh,Choon-Soo Lee. Владелец: Genitech Co., Ltd.. Дата публикации: 2003-05-15.

Method for forming thin film

Номер патента: EP1454347A1. Автор: Won-Yong 105-605 Hanul Apt. KOH,Choon-Soo 113-402 Hanmaeul Apt. 200-4 LEE. Владелец: Genitech Co Ltd. Дата публикации: 2004-09-08.

Captivated fastener assembly with post-formed retention feature and method for forming the same

Номер патента: US20020150443A1. Автор: Michael Draper,H. Johnson. Владелец: H THAD JOHNSON. Дата публикации: 2002-10-17.

Connector apparatus and method for joining objects

Номер патента: US20100071504A1. Автор: John A. Paoluccio,John J. Paoluccio. Владелец: Individual. Дата публикации: 2010-03-25.

System and method for forming a nozzle inlet of a nozzle

Номер патента: US20240227050A9. Автор: Daniel Alecu,Laslo T. Diosady. Владелец: PRATT & WHITNEY CANADA CORP.. Дата публикации: 2024-07-11.

System and method for forming a nozzle inlet of a nozzle

Номер патента: US20240131607A1. Автор: Daniel Alecu,Laslo T. Diosady. Владелец: PRATT & WHITNEY CANADA CORP.. Дата публикации: 2024-04-25.

Method for determining a distance-related running frictional resistance of the belt conveyor system

Номер патента: US20240101358A1. Автор: Viktor Raaz. Владелец: FLSmidth AS. Дата публикации: 2024-03-28.

Method for production of l-glutamic acid

Номер патента: RU2282662C2. Автор: Такаюки КОДА,Хироси УЕДА,Масаказу САТО. Владелец: Адзиномото Ко., Инк.. Дата публикации: 2006-08-27.

Method for production of protein substances

Номер патента: RU2250264C2. Автор: Ральф РЕСКИ,Гильберт ГОРР. Владелец: Гриновейшн Байотек Гмбх. Дата публикации: 2005-04-20.

Cladding and the method for its formation

Номер патента: RU2759409C1. Автор: Константин Юрьевич Севенард. Владелец: Константин Юрьевич Севенард. Дата публикации: 2021-11-12.

Heat exchanger, method for formation thereof and use thereof

Номер патента: RU2667565C2. Автор: Петер Ян КОЛ. Владелец: Интергэс Хитинг Эссетс Б.В.. Дата публикации: 2018-09-21.

A method for assembling chimney with mineral aggregate frame to a residential building and a chimney

Номер патента: EP1597448A1. Автор: Jukka Markkanen. Владелец: Markkanen Jukka. Дата публикации: 2005-11-23.

A method for assembling chimney with mineral aggregate frame to a residential building and a chimney

Номер патента: AU2003214279A1. Автор: Jukka Markkanen. Владелец: Individual. Дата публикации: 2004-09-17.

Body support assembly and methods for the use and assembly thereof

Номер патента: US20240225291A1. Автор: Kurt R. Heidmann,Gordon J. Peterson,Nickolaus William Charles Deevers. Владелец: Steelcase Inc. Дата публикации: 2024-07-11.

Apparatus and method for transport of microscopic object(s)

Номер патента: US20070146714A1. Автор: Pradeep Gupta,Samarendra Mohanty. Владелец: India Atomic Energy Department of. Дата публикации: 2007-06-28.

Digital pcr system and a method for forming digital pcr droplet

Номер патента: US20210402408A1. Автор: Yimin Guan,Xuanye WU. Владелец: Shanghai Industrial MicroTechnology Research Institute. Дата публикации: 2021-12-30.

A method for assembling chimney with mineral aggregate frame to a residential building and a chimney

Номер патента: WO2004076782A1. Автор: Jukka Markkanen. Владелец: Jukka Markkanen. Дата публикации: 2004-09-10.

Method and assembly for centering a spigot to a socket

Номер патента: EP3385593A3. Автор: Harri Ulmanen. Владелец: UPONOR INFRA OY. Дата публикации: 2018-10-17.

Body support assembly and methods for the use and assembly thereof

Номер патента: US20230189999A1. Автор: Kurt R. Heidmann,Gordon J. Peterson,Nickolaus William Charles Deevers. Владелец: Steelcase Inc. Дата публикации: 2023-06-22.

Method for customizing and assembling elastic cushion for user to sit and lie on

Номер патента: EP4397213A1. Автор: Luhao Leng. Владелец: New Tec Integration Xiamen Co Ltd. Дата публикации: 2024-07-10.

Method for forming an object

Номер патента: EP4245507A2. Автор: Joseph Lucian Smolenski,Robert Butler,Vadim Bromberg,Victor FULTON,John STERLE,Tyler Andrew GRIFFITH,Carlos H. BONILLA. Владелец: General Electric Co. Дата публикации: 2023-09-20.

Method for forming fixed images

Номер патента: EP2649495A1. Автор: YASUHIRO Hidaka,Akihiro Eida. Владелец: Kao Corp. Дата публикации: 2013-10-16.

Method for forming three-dimensional object

Номер патента: US20180147775A1. Автор: Hirofumi Hara,Masaya Nagahari. Владелец: MIMAKI ENGINEERING CO LTD. Дата публикации: 2018-05-31.

Method for forming a weldable mount for fuel systems component

Номер патента: US20030047835A1. Автор: Dwight Smith,Nancy Amburgey,Thomas Blease,Jeff Devall. Владелец: Individual. Дата публикации: 2003-03-13.

Method for auto-executing and booting-host computer through semiconductor storage device

Номер патента: US20060036846A1. Автор: Zhiyuan Zhong. Владелец: Netac Technology Co Ltd. Дата публикации: 2006-02-16.

Tool for making nail with shifted head and method for using such tool

Номер патента: RU2322324C2. Автор: Йенс КАРЛСЕН. Владелец: Энкотек А/С. Дата публикации: 2008-04-20.

System and method for forming three-dimensional body

Номер патента: RU2759969C2. Автор: Клаус ШТАДЛЬМАНН. Владелец: Клаус ШТАДЛЬМАНН. Дата публикации: 2021-11-19.

Systems, methods, and assemblies for improvement of explosion and fire resistant properties in fluid containers

Номер патента: US11819718B2. Автор: Vinod Menon. Владелец: Atom Alloys Ltd. Дата публикации: 2023-11-21.

Method for making a bung for a metal cask

Номер патента: AU2001235542A1. Автор: Jean-Claude Carayon,Lucien Brun. Владелец: Gallay SA. Дата публикации: 2001-07-31.

System and method for assembling vehicle component

Номер патента: US20230053830A1. Автор: Ji Eun Jang. Владелец: Kia Corp. Дата публикации: 2023-02-23.

Shaped articles for nuclear remediation and methods for forming such shaped articles

Номер патента: WO2015183435A2. Автор: Evgeny Todorov KOLEV. Владелец: UOP LLC. Дата публикации: 2015-12-03.

Spring forming device, method for forming a helical spring and corresponding computer program

Номер патента: EP3021996A1. Автор: Paolo BOSCHIERO. Владелец: Simplex Rapid Srl. Дата публикации: 2016-05-25.

Flow-forming machine and method for flow-forming

Номер патента: US20240173763A1. Автор: Benedikt Nillies. Владелец: Leifeld Metal Spinning GmbH. Дата публикации: 2024-05-30.

Manufacturing method for vehicle knuckle using preform

Номер патента: US20230128647A1. Автор: Ki Ho Kim,Se Woong JEONG,Ig Jin KWON,Ju Hyoung Cho,Hyun Tae BANG. Владелец: Iljin Co Ltd. Дата публикации: 2023-04-27.

Method and apparatus for handling wind turbine components during transport and assembly

Номер патента: EP2794455A1. Автор: Jesper Fyhn FRIIS. Владелец: Vestas Wind Systems AS. Дата публикации: 2014-10-29.

Method for forming micro-electro-mechanical system (mems) structure

Номер патента: US20190315620A1. Автор: Kai-Fung Chang,Len-Yi Leu,Lien-Yao TSAI. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-17.

Method and assembly for mounting a lead-through device in a wall

Номер патента: SE1950052A1. Автор: Kristian Olsson,Björn Rydetorp,Pär Cani. Владелец: Villeroy & Boch Gustavsberg Ab. Дата публикации: 2020-07-17.

Assembly and method for delivery of micro-volume droplets from a squeeze bottle

Номер патента: WO2019147647A8. Автор: Allisa Jungha Song,Elias Lee Baker. Владелец: Nanodropper, LLC. Дата публикации: 2019-08-22.

Method for forming multilayer coating film

Номер патента: CA3076175A1. Автор: Nobuhiko Narita,Hirokazu OKAZAKI,Tatsuo Kuramochi,Yuya Hirai. Владелец: Kansai Paint Co Ltd. Дата публикации: 2019-03-21.

Apparatus and method for forming retaining wall blocks with variable depth flanges

Номер патента: CA2595005A1. Автор: Jeffrey A. Ness. Владелец: Individual. Дата публикации: 2006-07-20.

Core-sheath composite fiber for artificial hair, headwear product including same, and production method for same

Номер патента: US20220372669A1. Автор: Takashi Ogino. Владелец: Kaneka Corp. Дата публикации: 2022-11-24.

Method for making mold for forming anti-flare lens

Номер патента: US8454864B2. Автор: Chien-Feng Huang. Владелец: Hon Hai Precision Industry Co Ltd. Дата публикации: 2013-06-04.

Method for forming a sheet blank as a workpiece in a forming tool

Номер патента: US12064800B2. Автор: Peter Amborn. Владелец: Hodforming GmbH. Дата публикации: 2024-08-20.

Method for the preparation of optical waveguide devices and optical waveguide devices

Номер патента: US20040228595A1. Автор: Toshihiro Kuroda,Madoka Kondou. Владелец: Hitachi Chemical Co Ltd. Дата публикации: 2004-11-18.

Device and method for forming a non-ground vial

Номер патента: WO2006127843A2. Автор: Herbert A. Gerretz,Wayne Mozzo,Ivan E. Perez. Владелец: Alcan Global Pharmaceutical Packaging Inc.. Дата публикации: 2006-11-30.

Method for blow molding hollow articles

Номер патента: US3816579A. Автор: E Moslo. Владелец: Individual. Дата публикации: 1974-06-11.

Method for forming a panel, particularly skin for a hollow core door, and a method of manufacturing a hollow core door

Номер патента: WO2016081958A3. Автор: Fahiem Amod BUX. Владелец: Bux Fahiem Amod. Дата публикации: 2016-08-25.

Method for Assembling a Team of Humans and Robots

Номер патента: US20190318292A1. Автор: Daniel SCHALL. Владелец: SIEMENS AG. Дата публикации: 2019-10-17.

Method and assembly for detecting partial discharges of an electrical operating device

Номер патента: US20200271711A1. Автор: Anton Wiedenbauer. Владелец: SIEMENS AG. Дата публикации: 2020-08-27.

Method and assembly for detecting partial discharges of an electrical operating device

Номер патента: US11215656B2. Автор: Anton Wiedenbauer. Владелец: SIEMENS AG. Дата публикации: 2022-01-04.

Optical modulator, method for forming the same, and method for controlling the same

Номер патента: SG11201909486XA. Автор: Guozhen Liang,Qijie Wang,Bo Meng,Xiaonan Hu. Владелец: Univ Nanyang Tech. Дата публикации: 2019-11-28.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: AU2245001A. Автор: Jukka Kinnunen. Владелец: Metso Paper Oy. Дата публикации: 2001-06-25.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: WO2001044569A1. Автор: Jukka Kinnunen. Владелец: METSO PAPER, INC.. Дата публикации: 2001-06-21.

Arrangement and method for forming a multilayered paper or paperboard web

Номер патента: EP1266087A1. Автор: Jukka Kinnunen. Владелец: METSO PAPER KARLSTAD AB. Дата публикации: 2002-12-18.

Method for forming resist underlayer film and patterning process

Номер патента: EP4435516A1. Автор: Naoki Kobayashi,Daisuke Kori,Kenta Ishiwata,Nobuhiro Nagamachi. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2024-09-25.

Method for producing phenolic resin

Номер патента: PH12021550649A1. Автор: Ryosuke Asahara,Shuji NISHIGAKI. Владелец: Futamura Kagaku Kk. Дата публикации: 2022-02-14.

Bed foundation and methods for assembling the same

Номер патента: US20240081548A1. Автор: Brian M. Manuszak,Robert HANSON, III. Владелец: Sealy Technology LLC. Дата публикации: 2024-03-14.

Forming systems and methods for forming an elongate charge of composite material

Номер патента: US20240181726A1. Автор: John Dempsey Morris,Derek John Flolid. Владелец: Boeing Co. Дата публикации: 2024-06-06.

Method for forming a unassembled electrophoresis gel cassette

Номер патента: US20240167979A1. Автор: Kuan-Lin Lee. Владелец: Smobio Technology Inc. Дата публикации: 2024-05-23.

Method for compacting thermoplastic materials intended for recycling, compactor apparatus and related assembly

Номер патента: EP3129214A1. Автор: Jukka Antero PELTOLA. Владелец: Eps International Oy. Дата публикации: 2017-02-15.

House foundation system and method of forming a house foundation and a method for forming a floor structure

Номер патента: EP3194664A1. Автор: Peter Lindqvist,John Hoglund. Владелец: Get Ab. Дата публикации: 2017-07-26.

System and method for flexibly forming a casting mold for manufacturing a casting model

Номер патента: CA3144189A1. Автор: Edwin Meindl,Karl Wagner,Christian Falch. Владелец: Cubes GmbH. Дата публикации: 2021-01-21.

Solventless method for forming a coating on a medical electrical lead body

Номер патента: EP2473211A1. Автор: James Q. Feng,Larry L. Hum,Tolga Tas,Arienne P. Simon. Владелец: Cardiac Pacemakers Inc. Дата публикации: 2012-07-11.

Method for forming soldering layer of fiber arrays

Номер патента: US20030194494A1. Автор: Chung-I Chiang,Ming-Jen Wang,Huei-Pin Huang,Kun-Hsien Cheng,Hong-Jueng King,Chwei-Jing Yeh. Владелец: Ritek Corp. Дата публикации: 2003-10-16.

Method for forming a building foundation, building foundation, system, spacer, connector and insulating block

Номер патента: WO2011135354A3. Автор: Philip Major. Владелец: SIG PLC. Дата публикации: 2012-05-03.

Systems and methods for fabricating crystals of metal compounds

Номер патента: EP4373999A1. Автор: Hongjie Qiu,Dong Rick QIU. Владелец: Proto Materials LLC. Дата публикации: 2024-05-29.

Method for Forming a Membrane Holder and Membrane Holder Thus Formed

Номер патента: US20120132580A1. Автор: Hendrik Johan Ferdinand Berends. Владелец: Demand Holding BV. Дата публикации: 2012-05-31.

Method for forming a membrane holder and membrane holder thus formed

Номер патента: WO2010071419A1. Автор: Hendrik Johan Ferdinand Berends. Владелец: Demand Holding B.V.. Дата публикации: 2010-06-24.

Method for forming metal film

Номер патента: US20220403544A1. Автор: Haruki KONDOH. Владелец: Toyota Motor Corp. Дата публикации: 2022-12-22.

Method and Assembly for Displacing Animals in Vegetation Subject to Mowing or Cutting

Номер патента: US20170006778A1. Автор: Kevin Michael Muffie. Владелец: Individual. Дата публикации: 2017-01-12.

Apparatus and method for forming a hermetic package for creamy products

Номер патента: WO2021161156A1. Автор: Giuseppe BORTOLAZZI. Владелец: I.M.A. INDUSTRIA MACCHINE AUTOMATICHE S.P.A.. Дата публикации: 2021-08-19.

Methods for manufacturing panels

Номер патента: EP4382309A3. Автор: Bruno Vermeulen. Владелец: UNILIN BV. Дата публикации: 2024-07-17.

Method and device for forming a muliply web

Номер патента: EP1218591A1. Автор: Bengt NORDSTRÖM. Владелец: Sca Packaging Sweden Ab. Дата публикации: 2002-07-03.

Method and device for forming a muliply web

Номер патента: AU5861500A. Автор: Bengt NORDSTRÖM. Владелец: SCA HYGIENE PRODUCTS AB. Дата публикации: 2001-01-02.

Method and device for forming a muliply web

Номер патента: WO2000077299A1. Автор: Bengt NORDSTRÖM. Владелец: SCA HYGIENE PRODUCTS AB. Дата публикации: 2000-12-21.

Method for manufacturing powder metallurgical tooling

Номер патента: WO1998047833A9. Автор: Paul D Vawter. Владелец: Paul D Vawter. Дата публикации: 1999-05-06.

Improved stirling engine design and assembly

Номер патента: SE1950104A1. Автор: Martin Nilsson,Håkan Sandberg. Владелец: AZELIO AB. Дата публикации: 2020-07-30.

Improved stirling engine design and assembly

Номер патента: WO2020159424A1. Автор: Martin Nilsson,Håkan Sandberg. Владелец: AZELIO AB. Дата публикации: 2020-08-06.

Method for producing hygiene product, and hygiene product

Номер патента: EP3777797A1. Автор: Makoto Ichikawa,Kuniyoshi KAWABATA. Владелец: Unicharm Corp. Дата публикации: 2021-02-17.

Systems and methods for synchronizing an image sensor

Номер патента: US11989905B2. Автор: Sanjay Kumar Boddhu,Amey Aroskar,Landis Huffman,Sumedh Rasal,Joshua Michael Finken. Владелец: Here Global BV. Дата публикации: 2024-05-21.

System and method for forming a concrete wall having a smooth face

Номер патента: AU2022218610A1. Автор: Craig Huggett,Timothy James Orr. Владелец: Meso Systems Pty Ltd. Дата публикации: 2023-03-16.

Method for furnishing candles with a substantially match-like system for ignition thereof, applicable for industrial use

Номер патента: WO2013052016A2. Автор: Robert HROVAL. Владелец: Hroval Robert. Дата публикации: 2013-04-11.

Method for forming pattern and method for fabricating LCD device using the same

Номер патента: US20070148603A1. Автор: Jae Oh,Hye Lee. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-06-28.

System and method for security screening integration for multiple modes of travel

Номер патента: US20240257571A1. Автор: Craig Mateer. Владелец: Individual. Дата публикации: 2024-08-01.

Method for forming high-quality film by cvd process

Номер патента: US20240200187A1. Автор: Dan Li,Tiezhu Xu. Владелец: Piotech Inc. Дата публикации: 2024-06-20.

System and Method for Delivering a Digital Therapeutic Specific to a Users EMS and Profile

Номер патента: US20200265941A1. Автор: Michael Phillips Moskowitz. Владелец: Aebeze Labs. Дата публикации: 2020-08-20.

Method and system for forming protrusions, and method for manufacturing metal component having protrusions

Номер патента: US20230201902A1. Автор: Masakatsu Seki. Владелец: SEKI PRESS Co Ltd. Дата публикации: 2023-06-29.

Method for forming a membrane holder and membrane holder thus formed

Номер патента: EP2379208A1. Автор: Hendrik Johan Ferdinand Berends. Владелец: Demand Holding BV. Дата публикации: 2011-10-26.

Devices and methods for cell harvesting

Номер патента: US20020192805A1. Автор: Ian Harris. Владелец: Ethicon Inc. Дата публикации: 2002-12-19.

Method, device and system for forming fusion model, medium, processor and terminal

Номер патента: EP3828735A1. Автор: He Yu,Qi Wang,Wenjing Zhou. Владелец: SIEMENS AG. Дата публикации: 2021-06-02.

Decorative holder for a light source, blank therefore, greetings cards and method for forming such a holder

Номер патента: GB201320666D0. Автор: . Владелец: SCHELTEMA YVETTE E. Дата публикации: 2014-01-08.

Compositions and methods for inhibiting reproduction in tadpole shrimp

Номер патента: US20100129458A1. Автор: Brian K. C. Tsukimura. Владелец: California State University Fresno. Дата публикации: 2010-05-27.

Assembling method and assembling device for assembling bearing of lidar

Номер патента: WO2024120498A1. Автор: Shaoqing Xiang,Mengfei Zhang,Kang ZHU. Владелец: Hesai Technology Co., Ltd.. Дата публикации: 2024-06-13.

Apparatus and method for forming a hermetic package for creamy products

Номер патента: EP4103476A1. Автор: Giuseppe BORTOLAZZI. Владелец: IMA Industria Macchine Automatiche SpA. Дата публикации: 2022-12-21.

Graphite materials, and methods for fabricating and use thereof

Номер патента: US20210078864A1. Автор: Teng Li,Dapeng Liu,Liangbing Hu,Robert W. Foster,Chaoji CHEN,Yubing ZHOU. Владелец: Trinity Rail Group LLC. Дата публикации: 2021-03-18.

Method and apparatus for forming multi-colored cap part of snap button

Номер патента: US20050132547A1. Автор: Chung-Lung Chen. Владелец: Button International Co Ltd. Дата публикации: 2005-06-23.

A method for forming paint films and the painted objects

Номер патента: CA2590474A1. Автор: Masato Tokieda,Masao Nakata. Владелец: Individual. Дата публикации: 2006-07-20.

A method for forming paint films and the painted objects

Номер патента: WO2006075251A1. Автор: Masato Tokieda,Masao Nakata. Владелец: BASF Coatings Japan Ltd.. Дата публикации: 2006-07-20.

Flexible independent multi-layer container and method for forming

Номер патента: EP1885609A2. Автор: Charles W. True. Владелец: Environmental Packaging Technologies Ltd. Дата публикации: 2008-02-13.

Ball screw and assembly method

Номер патента: US20210301910A1. Автор: Daniel Sinz,André SCHÄDLICH. Владелец: SFS INTEC HOLDING AG. Дата публикации: 2021-09-30.

Ball screw and assembly method

Номер патента: US11519483B2. Автор: Daniel Sinz,André SCHÄDLICH. Владелец: SFS INTEC HOLDING AG. Дата публикации: 2022-12-06.

System and method for forming a concrete wall having a smooth face

Номер патента: AU2021107320A4. Автор: Craig Huggett,Timothy James Orr. Владелец: Meso Systems Pty Ltd. Дата публикации: 2021-12-16.

System and method for security screening integration for multiple modes of travel

Номер патента: WO2024163196A1. Автор: Craig Mateer. Владелец: Mateer Craig. Дата публикации: 2024-08-08.

Method for synthesizing aminoalcohols

Номер патента: EP2462104A2. Автор: Krishnan Tamareselvy,Yanshi Zhang. Владелец: Lubrizol Advanced Materials Inc. Дата публикации: 2012-06-13.

Method For Synthesizing Aminoalcohols

Номер патента: US20120136174A1. Автор: Krishnan Tamareselvy,Yanshi Zhang. Владелец: Lubrizol Advanced Materials Inc. Дата публикации: 2012-05-31.

Method for synthesizing aminoalcohols

Номер патента: WO2011017510A3. Автор: Krishnan Tamareselvy,Yanshi Zhang. Владелец: LUBRIZOL ADVANCED MATERIALS, INC.. Дата публикации: 2011-06-23.

Image forming system and method for controlling image forming operation

Номер патента: US20150248095A1. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2015-09-03.

Image forming system and method for controlling image forming operation

Номер патента: US9354575B2. Автор: Shoichi Nakamura. Владелец: KONICA MINOLTA INC. Дата публикации: 2016-05-31.

Method for producing brushes and apparatus for performing said method

Номер патента: US20030001427A1. Автор: Armin Seifert,Andreas Lanvers,Erwin Buchholz. Владелец: M&C Schiffer GmbH. Дата публикации: 2003-01-02.

Device and method for moulding food paste

Номер патента: EP2480092A1. Автор: Mario Vincenti. Владелец: Individual. Дата публикации: 2012-08-01.

Scaffold device and assembly method for scaffold device

Номер патента: CA3237718A1. Автор: Dai ONO. Владелец: BrandSafway Services LLC. Дата публикации: 2023-03-30.

Method and apparatus for forming patterned photoresist layer

Номер патента: US20050181571A1. Автор: Jack Lin,Calvin Wu,George Huang. Владелец: United Microelectronics Corp. Дата публикации: 2005-08-18.

Method and apparatus for forming patterned photoresist layer

Номер патента: US20050250225A1. Автор: Jack Lin,Calvin Wu,George Huang. Владелец: Individual. Дата публикации: 2005-11-10.

Method for forming multilayer coating film

Номер патента: US20210245198A1. Автор: Satoshi Ishida,Akiko Senda,Kenta TAKEMURA. Владелец: Nippon Paint Automotive Coatings Co Ltd. Дата публикации: 2021-08-12.

Method for making ink jet printheads

Номер патента: WO2003016831A1. Автор: Gary Raymond Williams,Brian Christopher Hart,Shauna Marie Leis. Владелец: Lexmark International, Inc.. Дата публикации: 2003-02-27.

Method of deflecting conveyor rolls intended for bending glass panels, and assembly applying the method

Номер патента: EP2155617A1. Автор: Pete Harjunen,Veijo Valtonen. Владелец: Glaston Corp. Дата публикации: 2010-02-24.

Method of deflecting conveyor rolls intended for bending glass panels, and assembly applying the method

Номер патента: WO2008148933A1. Автор: Pete Harjunen,Veijo Valtonen. Владелец: Glaston Corporation. Дата публикации: 2008-12-11.

A method for stuffing articles in envelopes

Номер патента: WO2013054314A1. Автор: Francesco Ponti. Владелец: C.M.C. S.R.L.. Дата публикации: 2013-04-18.

System and method for forming optimized perimeter surveillance

Номер патента: EP2277152A1. Автор: Paul Z. Thunemann,Robert W. Grube,Robert W. Mattikalli. Владелец: Boeing Co. Дата публикации: 2011-01-26.

Method for distributed object communications based on dynamically acquired and assembled software components

Номер патента: WO1999045464A3. Автор: Amy Sundermier. Владелец: Boeing Co. Дата публикации: 1999-11-25.

METHODS FOR MANUFACTURING IMPLANTABLE STENTS HAVING A PLURALITY OF VARYING PARALLELOGRAMMIC CELLS

Номер патента: US20120055011A1. Автор: Tenne Dirk. Владелец: . Дата публикации: 2012-03-08.

STATE OF CHARGE OPTIMIZING DEVICE AND ASSEMBLED BATTERY SYSTEM INCLUDING SAME

Номер патента: US20120074911A1. Автор: . Владелец: . Дата публикации: 2012-03-29.

GLASS SUBSTRATE FOR FORMING THROUGH-SUBSTRATE VIA OF SEMICONDUCTOR DEVICE

Номер патента: US20130034687A1. Автор: Ono Motoshi,Koike Akio,Murakami Ryota,Kikugawa Shinya. Владелец: Asahi Glass Company, Limited. Дата публикации: 2013-02-07.

GLASS SUBSTRATE FOR FORMING THROUGH-SUBSTRATE VIA OF SEMICONDUCTOR DEVICE

Номер патента: US20130034688A1. Автор: Ono Motoshi,Koike Akio,Murakami Ryota,Kikugawa Shinya. Владелец: Asahi Glass Company, Limited. Дата публикации: 2013-02-07.

Cyclonic debris evacuation apparatus and method for a pump

Номер патента: CA2721499C. Автор: Michael Brent Ford. Владелец: Individual. Дата публикации: 2014-05-20.

Method for forming involute plastic articles from thermoplastic sheet material

Номер патента: CA1077668A. Автор: Robert F. Mulvany (Jr.). Владелец: Individual. Дата публикации: 1980-05-20.

Method for data sharing in computer network (versions)

Номер патента: RU2465640C2. Автор: Юрий Витальевич Мисолин. Владелец: Юрий Витальевич Мисолин. Дата публикации: 2012-10-27.

Method for forming and printing of gingerbread doughs

Номер патента: RU2248702C2. Автор: М.Ю. Коновалова. Владелец: Коновалова Маргарита Юрьевна. Дата публикации: 2005-03-27.