Single wafer etching apparatus

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Single-wafer etching method for wafer and etching apparatus thereof

Номер патента: MY147183A. Автор: Takeo Katoh,Tomohiro Hashii,Katsuhiko Murayama,Sakae Koyata,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2012-11-14.

Etching apparatus and method

Номер патента: US20080017611A1. Автор: Yong-Woo Kim,Ho-Geun Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-01-24.

Single wafer etching apparatus

Номер патента: MY148161A. Автор: Takeo Katoh,Tomohiro Hashii,Katsuhiko Murayama,Sakae Koyata,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2013-03-15.

Single Wafer Etching Apparatus and Single Wafer Etching Method

Номер патента: US20070175863A1. Автор: Takeo Katoh,Tomohiro Hashii,Katsuhiko Murayama,Sakae Koyata,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2007-08-02.

Wafer etching apparatus and method for controlling etch bath of wafer

Номер патента: US20150380323A1. Автор: Tai-I Yang,Tien-Lu Lin,Chih-shen Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-12-31.

Etching apparatus and methods of cleaning thereof

Номер патента: US12080582B2. Автор: Wei-Jen Lo,Lun-Kuang Tan,Yu-Chi Lin,Chih-Teng Liao,Huai-Tei Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-03.

Plasma etching apparatus

Номер патента: US09653357B2. Автор: Junichi Arami,Kenji Okazaki. Владелец: Disco Corp. Дата публикации: 2017-05-16.

Plasma etching apparatus

Номер патента: US20030203640A1. Автор: Kazue Takahashi,Saburo Kanai,Toshio Masuda,Tetsunori Kaji,Mitsuru Suehiro. Владелец: Individual. Дата публикации: 2003-10-30.

Semiconductor etching apparatus

Номер патента: US20240021460A1. Автор: Faming Zhang. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-01-18.

Dry etching apparatus and its manufacturing method

Номер патента: US6350698B1. Автор: Yukihiro Kamide. Владелец: Sony Corp. Дата публикации: 2002-02-26.

Parallel plate dry etching apparatus and method for manufacturing semiconductor device using same

Номер патента: US20140273494A1. Автор: Shingo Honda. Владелец: Toshiba Corp. Дата публикации: 2014-09-18.

Etching Apparatus and Methods

Номер патента: EP2592646A3. Автор: OliverJames Ansell. Владелец: SPTS Technologies Ltd. Дата публикации: 2017-05-24.

Method of cleaning pipe of single-wafer processing wafer cleaning apparatus

Номер патента: US20230381834A1. Автор: Ryoichi Yanai. Владелец: Sumco Corp. Дата публикации: 2023-11-30.

Cooling of a plasma electrode system for an etching apparatus

Номер патента: US4963713A. Автор: Takao Horiuchi,Izumi Arai,Yoshifumi Tahara. Владелец: Tokyo Electron Ltd. Дата публикации: 1990-10-16.

Etching method and apparatus for a single wafer

Номер патента: EP1975977B1. Автор: Takeo Katoh,Tomohiro Hashii,Katsuhiko Murayama,Sakae Koyata,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2011-05-04.

WAFER ETCHING APPARATUS AND METHOD FOR CONTROLLING ETCH BATH OF WAFER

Номер патента: US20150380323A1. Автор: Yang Tai-I,Lin Tien-Lu,Yang Chih-Shen. Владелец: . Дата публикации: 2015-12-31.

Etching method and apparatus for a single wafer

Номер патента: EP1975977A1. Автор: Takeo Katoh,Tomohiro Hashii,Katsuhiko Murayama,Sakae Koyata,Kazushige Takaishi. Владелец: Sumco Corp. Дата публикации: 2008-10-01.

Wafer etching device

Номер патента: JPS6197836A. Автор: Kunihiko Murakami,邦彦 村上. Владелец: Hitachi Electronics Engineering Co Ltd. Дата публикации: 1986-05-16.

WET ETCHING APPARATUS

Номер патента: US20170110344A1. Автор: Xue Dapeng. Владелец: . Дата публикации: 2017-04-20.

Etching method, etching apparatus and storage medium

Номер патента: KR101774427B1. Автор: 나오키 신도,요스케 하치야. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2017-09-04.

Temperature controller and etching apparatus having the same

Номер патента: KR100508754B1. Автор: 최승혁. Владелец: 삼성전자주식회사. Дата публикации: 2005-08-17.

Etching method and etching apparatus

Номер патента: US20220399204A1. Автор: Nobuhiro Takahashi,Ken NAKAGOMI. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-12-15.

Single wafer etching method of wafer

Номер патента: JP4974904B2. Автор: 健夫 加藤,和成 高石,友裕 橋井,栄 古屋田,克彦 村山. Владелец: Sumco Corp. Дата публикации: 2012-07-11.

WAFER ETCHING APPARATUS AND WAFER ETCHING METHOD USING THE SAME

Номер патента: US20140342571A1. Автор: OH Seung-Bae,Park Saeng-Man. Владелец: RORZE SYSTEMS CORPORATION. Дата публикации: 2014-11-20.

Wafer etching apparatus and etching method

Номер патента: KR100799069B1. Автор: 송재환. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-01-29.

Wafer etching apparatus and wafer processing method using same

Номер патента: KR100931190B1. Автор: 구영수. Владелец: 주식회사 실트론. Дата публикации: 2009-12-10.

Wafer Etching Equipment and Etching Method

Номер патента: KR970023810A. Автор: 홍진기. Владелец: 엘지반도체 주식회사. Дата публикации: 1997-05-30.

Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma

Номер патента: US09484214B2. Автор: Alex Paterson,Arthur Sato,Tom Kamp. Владелец: Lam Research Corp. Дата публикации: 2016-11-01.

Wafer etching method

Номер патента: KR100379328B1. Автор: 홍진기. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-06-02.

Alarm apparatus for exchanging lamps of wafer etching equipment

Номер патента: US6833047B2. Автор: Il Kwon Sin. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2004-12-21.

Silicon wafer etching method

Номер патента: CN110767545A. Автор: 刘厥扬. Владелец: Shanghai Huali Integrated Circuit Manufacturing Co Ltd. Дата публикации: 2020-02-07.

Wafer etching method

Номер патента: CN113539816A. Автор: 崔咏琴,张海苗,林源为,唐希文. Владелец: Beijing Naura Microelectronics Equipment Co Ltd. Дата публикации: 2021-10-22.

Etching apparatus for edges of substrate

Номер патента: TW200832541A. Автор: Jeong-Beom Lee,Duck-Ho Kim,Myung-Gon Song,Sung-Ho Cha,Dae-Sik Junn,Kyoung-Jin Lim. Владелец: Jusung Eng Co Ltd. Дата публикации: 2008-08-01.

Wet etch apparatus and method for using the same

Номер патента: US20200168480A1. Автор: Han-Wen LIAO,Hong-Ting LU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-28.

SEAL MEMBER, ETCHING APPARATUS, AND A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Номер патента: US20130330929A1. Автор: Saito Makoto,ETO Hideo. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2013-12-12.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20140073113A1. Автор: NAKAHARA Yoichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-03-13.

Plasma etching apparatus

Номер патента: US20150020973A1. Автор: Junichi Arami,Kenji Okazaki. Владелец: Disco Corp. Дата публикации: 2015-01-22.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20200035504A1. Автор: Miyoshi Hidenori,ABE Takuya,Shimizu Akitaka,NAGAKURA Koichi. Владелец: . Дата публикации: 2020-01-30.

PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD

Номер патента: US20160056021A1. Автор: TSUJIMOTO Hiroshi,Mizutani Tomoyuki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2016-02-25.

ETCHING METHOD, ARTICLE MANUFACTURING METHOD, AND ETCHING APPARATUS

Номер патента: US20170062230A1. Автор: MATSUO Keiichiro,Asano Yusaku. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2017-03-02.

Etching Method, Etching Apparatus, and Storage Medium

Номер патента: US20150072533A1. Автор: SUZUKI Tomohiro,Kasai Shigeru,Muraki Yusuke. Владелец: . Дата публикации: 2015-03-12.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20160079074A1. Автор: TSUJIMOTO Hiroshi,TOYODA Keigo,ISAGO Masaru. Владелец: . Дата публикации: 2016-03-17.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE BY USING PLASMA ETCHING APPARATUS

Номер патента: US20190080948A1. Автор: KIM Jae-hoon,LEE Jun-Soo,Min Kyung-hak. Владелец: . Дата публикации: 2019-03-14.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20160099161A1. Автор: HONDA Masanobu,Kawamata Masaya,Kubota Kazuhiro. Владелец: . Дата публикации: 2016-04-07.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20190096687A1. Автор: Higuchi Kazuhito. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2019-03-28.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20140193977A1. Автор: HONDA Masanobu,Kawamata Masaya,Kubota Kazuhiro. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-07-10.

DRY ETCHING APPARATUS

Номер патента: US20190122893A1. Автор: LEE Kyung Jin,KANG Ji Sung,CHOI Sang Jun. Владелец: VAULT CREATION CO., LTD.. Дата публикации: 2019-04-25.

MECHANISMS FOR ETCHING APPARATUS AND ETCHING-DETECTION METHOD

Номер патента: US20150162227A1. Автор: CHANG Chi-Wei,FAN Ping-Ling. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2015-06-11.

ETCHING METHOD, STORAGE MEDIUM AND ETCHING APPARATUS

Номер патента: US20150187593A1. Автор: NARUSHIMA Kensaku,SATOH Kohichi,KATOU Taiki,KOMORI Eiichi,NAKAGOMI Motoko. Владелец: . Дата публикации: 2015-07-02.

WET ETCH APPARATUS AND METHOD OF USING THE SAME

Номер патента: US20200168479A1. Автор: Liao Han-Wen,LU Hong-Ting. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2020-05-28.

WET ETCH APPARATUS AND METHOD FOR USING THE SAME

Номер патента: US20200168480A1. Автор: Liao Han-Wen,LU Hong-Ting. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2020-05-28.

PARALLEL PLATE DRY ETCHING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING SAME

Номер патента: US20140273494A1. Автор: Honda Shingo. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2014-09-18.

PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD

Номер патента: US20190198298A1. Автор: Hirose Jun,UEDA Takehiro. Владелец: . Дата публикации: 2019-06-27.

ETCHING METHOD, ETCHING APPARATUS, AND STORAGE MEDIUM

Номер патента: US20160233106A1. Автор: Sato Hideaki,Furukawa Takahiro,Satoh Takami,Hara Hiromi,Kawazu Takahiro,Shiokawa Toshiyuki,Futamata Yusuke. Владелец: . Дата публикации: 2016-08-11.

ETCHING APPARATUS AND METHODS

Номер патента: US20190221437A1. Автор: Collins Kenneth S.,Ramaswamy Kartik,Guo Yue,Lane Steven,Yang Yang,MONROY Gonzalo,CHEN Lucy Zhiping. Владелец: . Дата публикации: 2019-07-18.

ETCHING APPARATUS AND METHOD, AND FLEXIBLE FILM ETCHED BY THE ETCHING METHOD

Номер патента: US20170236726A1. Автор: KIM Kisoo,CHO SeungMin,Jeong Jaeyun. Владелец: . Дата публикации: 2017-08-17.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20180286691A1. Автор: MURAKAMI Hiroki,MIYAHARA Takahiro. Владелец: . Дата публикации: 2018-10-04.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20160322230A1. Автор: OGASAWARA Masahiro,Sato Takanori,Maruyama Hotaka,SHIMODA Gaku,URAKAWA Masafumi. Владелец: . Дата публикации: 2016-11-03.

SUBSTRATE ETCHING APPARATUS AND SUBSTRATE ANALYSIS METHOD

Номер патента: US20150357249A1. Автор: Kawabata Katsuhiko,Lee Sungjae,HAYASHI Takuma,Ikeuchi Mitsumasa,Kunika Jin. Владелец: IAS INC.. Дата публикации: 2015-12-10.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20200357658A1. Автор: NAGASEKI Kazuya,NAGAMI Koichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2020-11-12.

Plasma etching method and plasma etching apparatus

Номер патента: KR20210027232A. Автор: 히로시 츠지모토,부디만 모드 페어루즈 빈. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2021-03-10.

Dry etching apparatus

Номер патента: KR100258984B1. Автор: 이영우,김태룡,김학필. Владелец: 윤종용. Дата публикации: 2000-08-01.

Etching apparatus for manufacturing semiconductor device and etching method using same

Номер патента: KR100292953B1. Автор: 임흥빈,허용우. Владелец: 윤종용. Дата публикации: 2001-11-30.

Plasma etching apparatus using dual-etching

Номер патента: KR101751746B1. Автор: 이강석. Владелец: 주식회사 엘지에스. Дата публикации: 2017-06-29.

Wet etching apparatus

Номер патента: KR102253286B1. Автор: 노부오 고바야시,요시아키 구로카와,고이치 하마다. Владелец: 시바우라 메카트로닉스 가부시끼가이샤. Дата публикации: 2021-05-20.

Plasma etching apparatus and mask device

Номер патента: KR101377996B1. Автор: 최준호,최용섭,김문기. Владелец: 주식회사 코디에스. Дата публикации: 2014-03-27.

Dry Etching Apparatus for Manufacturing Semiconductor Devices

Номер патента: KR100431660B1. Автор: 안재수. Владелец: 삼성전자주식회사. Дата публикации: 2004-05-17.

Wet etching apparatus

Номер патента: US20200075359A1. Автор: Jin Woo Lee,Seung Min Shin,Yong Jun Choi,Seok Hoon Kim,Ji Hoon Cha. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-03-05.

Etching apparatus and etching method

Номер патента: US20240355635A1. Автор: Kazuhiko Tonari. Владелец: Ulvac Inc. Дата публикации: 2024-10-24.

High capacity etching apparatus

Номер патента: CA1144517A. Автор: Martin P. Lepselter. Владелец: Western Electric Co Inc. Дата публикации: 1983-04-12.

Etching method and etching apparatus

Номер патента: US20230386793A1. Автор: Kenji Maeda,Yosuke Kurosaki,Hiroto Otake. Владелец: Hitachi High Tech Corp. Дата публикации: 2023-11-30.

Etching method and plasma etching apparatus

Номер патента: US20230245897A1. Автор: Koki Tanaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-08-03.

Etching apparatus and etching method

Номер патента: US20220208554A1. Автор: Kazuhiko Tonari. Владелец: Ulvac Inc. Дата публикации: 2022-06-30.

Single wafer type substrate cleaning method and apparatus

Номер патента: IL145118A0. Автор: . Владелец: S E S Company Ltd. Дата публикации: 2002-06-30.

Acoustic assisted single wafer wet clean for semiconductor wafer process

Номер патента: CN102197462A. Автор: 格兰特·彭,戴维·穆,希钟·科恩. Владелец: Lam Research Corp. Дата публикации: 2011-09-21.

Cleaning method of piping of single-wafer type wafer cleaning equipment

Номер патента: KR20230043947A. Автор: 료이치 야나이. Владелец: 가부시키가이샤 사무코. Дата публикации: 2023-03-31.

Acoustic assisted single wafer wet clean for semiconductor wafer process

Номер патента: US8585825B2. Автор: David Mui,Grant Peng,Shih-Chung Kon. Владелец: Lam Research Corp. Дата публикации: 2013-11-19.

Method for controlling galvanic corrosion effects on a single-wafer cleaning system

Номер патента: US6858091B2. Автор: John M. Boyd,Mike Ravkin,Katrina A. Mikhaylich. Владелец: Lam Research Corp. Дата публикации: 2005-02-22.

Single wafer type cleaning method and apparatus

Номер патента: US6752877B2. Автор: Yuji Ono,Ryoichi Ohkura. Владелец: Ses Co Ltd. Дата публикации: 2004-06-22.

Single wafer type cleaning method and apparatus

Номер патента: US20030201003A1. Автор: Yuji Ono,Ryoichi Ohkura. Владелец: Ses Co Ltd. Дата публикации: 2003-10-30.

ETCHING METHOD AND BEVEL ETCHING APPARATUS

Номер патента: US20150352669A1. Автор: Kondo Masaki. Владелец: . Дата публикации: 2015-12-10.

An etching apparatus for semiconductor process

Номер патента: KR100223851B1. Автор: 문성열. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method for wafer etching in deep silicon trench etching process

Номер патента: US09728472B2. Автор: Xiaoming Li,Anna Zhang. Владелец: CSMC Technologies Fab1 Co Ltd. Дата публикации: 2017-08-08.

Plasma etching apparatus

Номер патента: US20050061447A1. Автор: Yong-Dae Kim,Do-hyeong Kim,Doo-Won Lee,Soon-Ho Yon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-03-24.

Plasma etching apparatus and method of plasma etching a semiconductor substrate

Номер патента: EP3958288A1. Автор: Maxime Varvara,Codrin Prahoveanu. Владелец: SPTS Technologies Ltd. Дата публикации: 2022-02-23.

Resistively heated single wafer furnace

Номер патента: EP1153420A1. Автор: Woo Sik Yoo. Владелец: WaferMasters Inc. Дата публикации: 2001-11-14.

Wet clean apparatus for single wafer

Номер патента: US20240038552A1. Автор: Wenqian XIE. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-02-01.

Component for film formation apparatus or etching apparatus

Номер патента: US20230223241A1. Автор: Koji Kawahara,Tomonori Ogawa. Владелец: Asahi Glass Co Ltd. Дата публикации: 2023-07-13.

Local etching apparatus

Номер патента: US6302995B1. Автор: Michihiko Yanagisawa,Chikai Tanaka. Владелец: SpeedFam-IPEC Co Ltd. Дата публикации: 2001-10-16.

Wet etch apparatus

Номер патента: US20210391189A1. Автор: Han-Wen LIAO,Hong-Ting LU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-16.

Plasma etching apparatus and method for operating the same

Номер патента: US12046451B2. Автор: Ju Ho Lee,Seung Bo SHIM,Doug Yong SUNG,Nam Kyun Kim,Seung Han BAEK. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-23.

Wet etching apparatus

Номер патента: US20200365423A1. Автор: Jianfeng Chen. Владелец: Wuhan China Star Optoelectronics Semiconductor Display Technology Co Ltd. Дата публикации: 2020-11-19.

Local etching apparatus and local etching method

Номер патента: US20010036741A1. Автор: Michihiko Yanagisawa,Chikai Tanaka. Владелец: Individual. Дата публикации: 2001-11-01.

Etching method and etching apparatus

Номер патента: US11139169B2. Автор: Sho Kumakura,Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-10-05.

Etching apparatus and etching method

Номер патента: US20190333739A1. Автор: Kazuya Nagaseki,Koichi Nagami. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-10-31.

Etching apparatus and method of controlling same

Номер патента: US20230011890A1. Автор: Sung Won Mo,Seung Hoon Lee,Yang Ho LEE,Jeong Hyun BAE,Heung Soo Han. Владелец: Zeus Co Ltd. Дата публикации: 2023-01-12.

Etching method and etching apparatus

Номер патента: US20200402800A1. Автор: Sho Kumakura,Maju TOMURA,Satoshi Ohuchida. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-12-24.

Silicon nitride etching in a single wafer apparatus

Номер патента: CN103021829A. Автор: 章勋明,陈昭成,黄国彬,于伟波,吕学青,周汉源. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2013-04-03.

Pressurized etching apparatus and controlling method thereof

Номер патента: KR102391244B1. Автор: 박성환,조현동. Владелец: 주식회사 제우스이엔피. Дата публикации: 2022-04-28.

Carrying device, wet etching apparatus and usage method thereof

Номер патента: US20170202091A1. Автор: Zhiyuan Lin,Yinhu HUANG. Владелец: Hefei Xinsheng Optoelectronics Technology Co Ltd. Дата публикации: 2017-07-13.

Single-wafer-type cleaning apparatus

Номер патента: US09887078B2. Автор: Dae-sung Kim,Jaehyung JUNG,Namsuk Kim,Ohhyung KWON,Jutaek LIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-02-06.

Method of replacing liquid of circulation line in substrate liquid processing apparatus of single-wafer type

Номер патента: US20110132465A1. Автор: Kazuyoshi Eshima. Владелец: Tokyo Electron Ltd. Дата публикации: 2011-06-09.

Throughput enhancement for single wafer reactor

Номер патента: US6508883B1. Автор: Michael J. Tanguay. Владелец: Advanced Technology Materials Inc. Дата публикации: 2003-01-21.

Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor

Номер патента: US5944857A. Автор: Richard C. Edwards,Marian Zielinski. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-08-31.

Single wafer robotic package

Номер патента: US5184723A. Автор: Randy L. Karl,Dale A. Maenke. Владелец: Fluoroware Inc. Дата публикации: 1993-02-09.

Suction-extraction apparatus for treatment fluid and etching apparatus containing the same

Номер патента: MY188634A. Автор: Jürgen Haungs,Stefan Rapp. Владелец: Gebr Schmid GmbH. Дата публикации: 2021-12-22.

Etching apparatus for use in manufacture of flat panel display device and manufacturing method using the same

Номер патента: US20070151950A1. Автор: Chun Il Kim. Владелец: LG Philips LCD Co Ltd. Дата публикации: 2007-07-05.

Etching apparatus for use in manufacture of flat panel display device and manufacturing method using the same

Номер патента: US7807018B2. Автор: Chun Il Kim. Владелец: LG Display Co Ltd. Дата публикации: 2010-10-05.

Etching method and bevel etching apparatus

Номер патента: US09623516B2. Автор: Masaki Kondo. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-04-18.

Etching apparatus

Номер патента: US20210111056A1. Автор: Jewoo HAN,Jaehak LEE,Jongkeun LEE,Yunhwan Kim,Kuihyun YOON,Kyohyeok KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2021-04-15.

Substrate etching apparatus and substrate etching method

Номер патента: US20240242980A1. Автор: Yonghyun KIM,Kyu-Bum Kim,Jungwoo CHOI,Yeongmin Kim,Seung Ho MYOUNG,Ju Yeong YUN. Владелец: Samsung Display Co Ltd. Дата публикации: 2024-07-18.

Etching method of glass substrate and wet etching apparatus thereof

Номер патента: US09676661B2. Автор: JIA Li. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2017-06-13.

Process fluid extracting apparatus and etching apparatus including the same

Номер патента: KR20180079397A. Автор: 위르겐 하웅스,슈테판 라프. Владелец: 게부르. 쉬미트 게엠베하. Дата публикации: 2018-07-10.

Focus ring, plasma etching apparatus and plasma etching method

Номер патента: US20060102288A1. Автор: Hideyuki Kobayashi,Daiki Satoh,Masato Horiguchi. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-05-18.

Focus ring, plasma etching apparatus and plasma etching method

Номер патента: US8192577B2. Автор: Hideyuki Kobayashi,Daiki Satoh,Masato Horiguchi. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-06-05.

Photomask plasma etching apparatus, etching method, and photomask forming method

Номер патента: US20060292727A1. Автор: Takeharu Motokawa,Junichi Tonotani. Владелец: Individual. Дата публикации: 2006-12-28.

Non-plasma dry etching apparatus

Номер патента: US20140305590A1. Автор: Hiroshi Tanabe,Yasushi Taniguchi,Naoshi Yamaguchi. Владелец: Panasonic Corp. Дата публикации: 2014-10-16.

Focus ring and plasma etching apparatus comprising the same

Номер патента: EP4322200A1. Автор: Yongsoo Choi,Sungsic Hwang,Kyungin Kim,Jungkun Kang,Kyungyeol Min,Su Man Chae. Владелец: SK Enpulse Co Ltd. Дата публикации: 2024-02-14.

Focus ring and plasma etching apparatus comprising the same

Номер патента: US20240055238A1. Автор: Yongsoo Choi,Sungsic Hwang,Kyungin Kim,Jungkun Kang,Kyungyeol Min,Su Man Chae. Владелец: SK Enpulse Co Ltd. Дата публикации: 2024-02-15.

Local dry etching apparatus

Номер патента: US20200135429A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2020-04-30.

GAS PHASE ETCHING DEVICE AND GAS PHASE ETCHING APPARATUS

Номер патента: US20190228993A1. Автор: ZHANG JUN,Wu Xin,MA Zhenguo,ZHANG Henan,Wen Lihui,HU Yunlong,CHU Fuping. Владелец: . Дата публикации: 2019-07-25.

Single wafer annealing oven

Номер патента: EP1155439A1. Автор: Woo Sik Yoo. Владелец: WaferMasters Inc. Дата публикации: 2001-11-21.

System comprising a single wafer, reduced volume process chamber

Номер патента: US20200203208A1. Автор: Wieland Pethe,Dirk Noack. Владелец: Globalfoundries Inc. Дата публикации: 2020-06-25.

SYSTEM COMPRISING A SINGLE WAFER, REDUCED VOLUME PROCESS CHAMBER

Номер патента: US20200203208A1. Автор: Pethe Wieland,Noack Dirk. Владелец: . Дата публикации: 2020-06-25.

Single-wafer cleaning procedure

Номер патента: US20070272270A1. Автор: Kun-Yuan Liao. Владелец: United Microelectronics Corp. Дата публикации: 2007-11-29.

Single-wafer cleaning procedure

Номер патента: US20060137711A1. Автор: Kun-Yuan Liao. Владелец: United Microelectronics Corp. Дата публикации: 2006-06-29.

Single wafer heat treatment equipment

Номер патента: JP3430277B2. Автор: 淳一 荒見,宏憲 八木,一二 青木. Владелец: Tokyo Electron Ltd. Дата публикации: 2003-07-28.

Single wafer heat treatment system

Номер патента: KR100403078B1. Автор: 준이치 아라미,가즈츠구 아오키,히로노리 야기. Владелец: 동경 엘렉트론 주식회사. Дата публикации: 2004-03-26.

Single wafer annealing oven

Номер патента: TW473795B. Автор: Woo-Sik Yoo. Владелец: WaferMasters Inc. Дата публикации: 2002-01-21.

Plasma etching method and plasma etching apparatus

Номер патента: US20150206715A1. Автор: Takayuki Ishii,Ryoichi Yoshida,Ken Kobayashi. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-07-23.

Etching method and etching apparatus

Номер патента: US11764070B2. Автор: Satoshi TODA,Naoki Shindo,Gen You,Haruna Suzuki. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-09-19.

Plasma etching method and plasma etching apparatus

Номер патента: US20080179283A1. Автор: Hiroyuki SHIBAMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-07-31.

Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium

Номер патента: US20080190892A1. Автор: Sung Tae Lee. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-08-14.

Etching method, etching apparatus and storage medium

Номер патента: US09646848B2. Автор: Hiroyuki Takahashi,Satoshi TODA,Kensaku Narushima. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-09.

Etching method and etching apparatus

Номер патента: US20240006152A1. Автор: Yoshihide Kihara,Nobuyuki Fukui,Maju TOMURA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-01-04.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20210005465A1. Автор: Fujita Akira. Владелец: . Дата публикации: 2021-01-07.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20200006079A1. Автор: Kobayashi Hiroyuki,Izawa Masaru,SHINODA Kazunori,KAWAMURA Kohei,MIYOSHI Nobuya,KOUZUMA Yutaka,OOKUMA Kazumasa. Владелец: . Дата публикации: 2020-01-02.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20220068657A1. Автор: HADA Keiko,You Gen,TODA Satoshi,TAKEYA Koji,LEE Jeongchan. Владелец: . Дата публикации: 2022-03-03.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190067032A1. Автор: Kobayashi Hiroyuki,Izawa Masaru,SHINODA Kazunori,Hori Masaru,Kofuji Naoyuki,KAWAMURA Kohei,Ishikawa Kenji,MIYOSHI Nobuya. Владелец: . Дата публикации: 2019-02-28.

Etching method and etching apparatus

Номер патента: US20210090898A1. Автор: Satoshi TODA,Naoki Shindo,Ryo Kuwajima. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-03-25.

CONTROL METHOD OF DRY ETCHING APPARATUS

Номер патента: US20190103286A1. Автор: LEE Kyung Jin,KANG Ji Sung,CHOI Sang Jun. Владелец: VAULT CREATION CO., LTD.. Дата публикации: 2019-04-04.

FILTER, METHOD FOR PRODUCING THE SAME, DRY ETCHING APPARATUS, AND DRY ETCHING METHOD

Номер патента: US20190105588A1. Автор: HIRANO Takaaki,HYAKUTAKE Munehiro. Владелец: ZEON CORPORATION. Дата публикации: 2019-04-11.

Etching method and etching apparatus

Номер патента: US20210175090A1. Автор: Yusuke Takino. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-06-10.

Etching method and etching apparatus

Номер патента: US20210202261A1. Автор: Maju TOMURA,Ryutaro Suda. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-07-01.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190198349A1. Автор: TAKAHASHI Nobuhiro,Orii Takehiko,YAMAGUCHI Tatsuya,ASADA Yasuo,Irie Shinji,HAGIWARA Ayano. Владелец: . Дата публикации: 2019-06-27.

Capacitively Coupled Plasma Etching Apparatus

Номер патента: US20200194275A1. Автор: Huang Yunwen,Zhao Jinlong,Ni Tuqiang,Wu Lei,LIANG Jie. Владелец: . Дата публикации: 2020-06-18.

Capacitively Coupled Plasma Etching Apparatus

Номер патента: US20200194276A1. Автор: Huang Yunwen,Zhao Jinlong,Ni Tuqiang,LIANG Jie. Владелец: . Дата публикации: 2020-06-18.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20150255305A1. Автор: Nakagawa Akira. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-09-10.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190237332A1. Автор: Tanaka Katsunori,Maruyama Hotaka. Владелец: . Дата публикации: 2019-08-01.

DRY ETCHING METHOD, DRY ETCHING APPARATUS, METAL FILM, AND DEVICE INCLUDING THE METAL FILM

Номер патента: US20140352716A1. Автор: Takeda Yuta,KIKUCHI Akiou. Владелец: CENTRAL GLASS COMPANY, LIMITED. Дата публикации: 2014-12-04.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20190252203A1. Автор: GOHIRA Taku,MINOURA Yuya. Владелец: . Дата публикации: 2019-08-15.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190304801A1. Автор: LIN Jun,YAMAUCHI Susumu. Владелец: . Дата публикации: 2019-10-03.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190378724A1. Автор: LIN Jun,TODA Satoshi,OZAWA Yoshie,NAKAGOMI Ken,KIKUSHIMA Satoru. Владелец: . Дата публикации: 2019-12-12.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20200402800A1. Автор: KUMAKURA Sho,TOMURA Maju,Ohuchida Satoshi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2020-12-24.

SENSOR DEVICE AND ETCHING APPARATUS HAVING THE SAME

Номер патента: US20200411390A1. Автор: SUN Jongwoo,MUN Jeongil,KIM Kyeonghun,YANG Seeyub,LEE Hyungjoo,Kim Kyoungsuk. Владелец: . Дата публикации: 2020-12-31.

Etching method and etching apparatus

Номер патента: KR20220011081A. Автор: 나오키 신도,사토시 도다,하루나 스즈키,겐 유. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2022-01-27.

Etching apparatus and etching method

Номер патента: US20070138134A1. Автор: Chuan-Han Hsieh,Yu-Ming Liu,Chiu-Liang Li,Hui-Chin Hsu,Kuo-Chih Yeh,Hung-Te Cheng,Chien-En Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2007-06-21.

Etching method and etching apparatus

Номер патента: KR20220119358A. Автор: 겐지 마에다,히로토 오타케,요스케 구로사키. Владелец: 주식회사 히타치하이테크. Дата публикации: 2022-08-29.

Etching method and etching apparatus

Номер патента: CN110809817A. Автор: 清水昭贵,斋藤刚,宇田秀一郎,加藤大辉. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-02-18.

METHOD FOR WAFER ETCHING IN DEEP SILICON TRENCH ETCHING PROCESS

Номер патента: US20150332981A1. Автор: Li Xiaoming,ZHANG Anna. Владелец: CSMC TECHNOLOGIES FAB1 CO., LTD.. Дата публикации: 2015-11-19.

Wafer etching system and wafer etching process using same

Номер патента: WO2014084472A1. Автор: 박생만,류기룡,우치야마마사히코. Владелец: 로체 시스템즈(주). Дата публикации: 2014-06-05.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20190006186A1. Автор: SATO Hiroki,HIROSE Hisashi. Владелец: . Дата публикации: 2019-01-03.

Plasma Etching Apparatus and Method

Номер патента: US20220051881A1. Автор: Maxime Varvara,Codrin Prahoveanu. Владелец: SPTS Technologies Ltd. Дата публикации: 2022-02-17.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20210082709A1. Автор: HONDA Masanobu,KIHARA Yoshihide,TOMURA Maju. Владелец: . Дата публикации: 2021-03-18.

WET ETCH APPARATUS

Номер патента: US20210391189A1. Автор: Liao Han-Wen,LU Hong-Ting. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2021-12-16.

Plasma etching apparatus and plasma etching method

Номер патента: US09583315B2. Автор: Tomoyuki Mizutani,Hiroshi Tsujimoto. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-02-28.

Plasma etching method for semiconductor device and etching apparatus of the same

Номер патента: US20020137340A1. Автор: Kye-Hyun Baek,Kil-Ho Kim. Владелец: Individual. Дата публикации: 2002-09-26.

Etching apparatus and method for fabricating alternating phase shift mask using the same

Номер патента: US20110159415A1. Автор: Sang Jin Jo. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-06-30.

Plasma etching apparatus

Номер патента: US5895551A. Автор: Chang Heon Kwon. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1999-04-20.

Reactive ion etching apparatus

Номер патента: US5681419A. Автор: Hak-Soon Yoon. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1997-10-28.

Local dry etching apparatus and local dry etching fabrication method

Номер патента: US20160203989A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2016-07-14.

Etching apparatus and etching method

Номер патента: US12020892B2. Автор: Yusuke Goki. Владелец: Kioxia Corp. Дата публикации: 2024-06-25.

SINGLE WAFER ETCHING APPARATUS

Номер патента: US20140311675A1. Автор: Yi Jaehwan,Choi Eunsuck. Владелец: LG Siltron Inc.. Дата публикации: 2014-10-23.

Heated single wafer megasonic processing plate

Номер патента: US20070215173A1. Автор: Kenneth C. Struven,Michael Olesen,Paul V. Mendes. Владелец: Imtec Acculine Inc. Дата публикации: 2007-09-20.

Plasma etching apparatus and plasma etching method

Номер патента: US20200312622A1. Автор: SATOSHI Tanaka. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-10-01.

Manufacturing method of semiconductor device and dry etching apparatus for the same

Номер патента: US20140273482A1. Автор: Masaki Matsui,Yoshinori Tsuchiya,Shinichi Hoshi. Владелец: Denso Corp. Дата публикации: 2014-09-18.

Etching method of etching apparatus

Номер патента: US20220359173A1. Автор: Shih-Chieh Lin,Shuen-Hsiang Ke. Владелец: Winbond Electronics Corp. Дата публикации: 2022-11-10.

Etching apparatus

Номер патента: US6051116A. Автор: Hirofumi Ichinose,Satoshi Shinkura,Akio Hasebe,Tsutomu Murakami,Yukie Ueno,Ippei Sawayama,Masaya Hisamatsu. Владелец: Canon Inc. Дата публикации: 2000-04-18.

Dry etching apparatus

Номер патента: US6165334A. Автор: Koji Watanabe. Владелец: Fujitsu Ltd. Дата публикации: 2000-12-26.

Etching method and etching apparatus

Номер патента: US8283254B2. Автор: Takahito Mukawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-10-09.

Wafer Etching Apparatus and Wafer Etching Method using the same

Номер патента: KR101093950B1. Автор: 김봉우,이재환,최은석,안진우,유환수. Владелец: 주식회사 엘지실트론. Дата публикации: 2011-12-13.

Single-wafer wet type processing device

Номер патента: CN106971958A. Автор: 吴宗恩,徐子正,罗翔隆. Владелец: HONGSU TECH Co Ltd. Дата публикации: 2017-07-21.

Disposition structure of deionized water supply tube during wafer etching

Номер патента: KR970063530A. Автор: 이유인,최영만. Владелец: 김광호. Дата публикации: 1997-09-12.

An automatic wafer etching method and apparatus

Номер патента: EP0519613A1. Автор: Shinji Sato,Fumihiko Hasegawa. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 1992-12-23.

Wafer etching system

Номер патента: KR100518765B1. Автор: 김경남. Владелец: 주식회사 에이알티. Дата публикации: 2005-10-05.

Plasma etching method and plasma etching apparatus

Номер патента: US09887109B2. Автор: Kazuhiro Kubota,Masanobu Honda,Masaya Kawamata. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-02-06.

Etching method and etching apparatus

Номер патента: US09728418B2. Автор: Hiroshi Tsujimoto,Keigo TOYODA,Masaru ISAGO. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-08-08.

Plasma etching apparatus and plasma etching method

Номер патента: US20120238040A1. Автор: Kazuhiro Kubota,Masanobu Honda,Yusuke Saito. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-09-20.

Plasma etching apparatus and plasma etching method

Номер патента: US20140017900A1. Автор: Satoshi Yamada,Shigeki Doba. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-01-16.

Plasma etching apparatus and plasma etching method

Номер патента: US20160013065A1. Автор: Kazuhiro Kubota,Masanobu Honda,Yusuke Saito. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-01-14.

Wet Etching Apparatus and the Etching Method Thereof

Номер патента: US20160027669A1. Автор: Li Jia. Владелец: Shenzhen China Star Optoelectronics Technology Co. Ltd.. Дата публикации: 2016-01-28.

WET ETCHING APPARATUS

Номер патента: US20200075359A1. Автор: Lee Jin Woo,CHOI Yong Jun,Kim Seok Hoon,Shin Seung Min,CHA Ji Hoon. Владелец: . Дата публикации: 2020-03-05.

NOZZLE AND ETCHING APPARATUS

Номер патента: US20180108543A1. Автор: ZI Yubao,LIU Zuhong,WANG Chengsheng,HUANG ERYUAN,DING Xilong,WU Zhaozeng. Владелец: . Дата публикации: 2018-04-19.

Etching apparatus

Номер патента: US20220181162A1. Автор: Masanobu Honda,Akihiro Tsuji,Hikaru Watanabe. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-06-09.

Etching method and etching apparatus

Номер патента: US20210159084A1. Автор: Yoshihide Kihara,Sho Kumakura,Maju TOMURA,Hironari SASAGAWA. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-05-27.

PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD

Номер патента: US20170133234A1. Автор: Yamada Satoshi,DOBA Shigeki. Владелец: . Дата публикации: 2017-05-11.

Etching method and etching apparatus

Номер патента: US20160189975A1. Автор: Yoshinobu Ooya,Ryohei Takeda,Ryuichi TAKASHIMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-06-30.

Reactive Ion Etching Apparatus

Номер патента: US20200219729A1. Автор: Ryuichiro Kamimura,Yamato OSADA. Владелец: Ulvac Inc. Дата публикации: 2020-07-09.

Etching method and etching apparatus

Номер патента: US20210265169A1. Автор: Kentaro Yamaguchi,Yusuke Takino. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-08-26.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20200234963A1. Автор: KUMAKURA Sho,SUDA Ryutaro. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2020-07-23.

Etching method and etching apparatus

Номер патента: US20210305056A1. Автор: Nobuhiro Takahashi,Takehiko Orii. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-09-30.

Etching apparatus and etching method

Номер патента: US20190333739A1. Автор: Kazuya Nagaseki,Koichi Nagami. Владелец: Tokyo Electron Ltd. Дата публикации: 2019-10-31.

WET ETCHING APPARATUS

Номер патента: US20200365423A1. Автор: Chen Jianfeng. Владелец: . Дата публикации: 2020-11-19.

wet etching apparatus and wet etching method using the same

Номер патента: KR101197194B1. Автор: 이재홍,오민섭. Владелец: 주식회사 엔에스티. Дата публикации: 2012-11-02.

Substrate etching apparatus

Номер патента: KR102023897B1. Автор: 김상영. Владелец: 주식회사 탑 엔지니어링. Дата публикации: 2019-09-25.

Etching apparatus and method, flexible film etched by the method

Номер патента: KR101783882B1. Автор: 김기수,정재윤,조승민. Владелец: 정재윤. Дата публикации: 2017-11-06.

Spin etch apparatus

Номер патента: KR100493558B1. Автор: 이기호,방인호. Владелец: 세메스 주식회사. Дата публикации: 2005-06-10.

Reactive ion etching apparatus

Номер патента: US10991591B2. Автор: Ryuichiro Kamimura,Yamato OSADA. Владелец: Ulvac Inc. Дата публикации: 2021-04-27.

Single wafer heat treatment system

Номер патента: JP4409714B2. Автор: 一成 李,俊夫 高木. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-02-03.

ELECTROSTATIC CHUCK AND WAFER ETCHING DEVICE INCLUDING THE SAME

Номер патента: US20200066565A1. Автор: Ishikawa Michio,PARK Myoung-Soo,LU SIQING,Kikuchi Masashi. Владелец: . Дата публикации: 2020-02-27.

Electrostatic chuck and wafer etching device including the same

Номер патента: US11728198B2. Автор: Masashi Kikuchi,Michio Ishikawa,SIQING Lu,Myoung-Soo Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2023-08-15.

Spin chuck device for single wafer treating machine

Номер патента: KR20090121147A. Автор: 정일용. Владелец: 주식회사 케이씨텍. Дата публикации: 2009-11-25.

Plasma etching apparatus and plasma cleaning method

Номер патента: US09659756B2. Автор: Takamichi Kikuchi. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-23.

Dry etching apparatus and clamp therefor

Номер патента: US20140224427A1. Автор: Shuji Takahashi. Владелец: Fujifilm Corp. Дата публикации: 2014-08-14.

Single wafer cleaning method to reduce particle defects on a wafer surface

Номер патента: US7341065B2. Автор: Steven Verhaverbeke,Christopher Laurent Beaudry. Владелец: Applied Materials Inc. Дата публикации: 2008-03-11.

Particle improvement for single wafer apparatus

Номер патента: US09659794B2. Автор: Ming-Sung HUNG,Yu-Kuei LEE,Cheng-Nan KAO,Hung-Hsin Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-23.

Multi wafer introduction/single wafer conveyor mode processing system and methodof processing wafers using the same

Номер патента: AU2913901A. Автор: Steven Kim. Владелец: Skion Corp. Дата публикации: 2001-07-16.

Particle improvement for single wafer apparatus

Номер патента: US20160040286A1. Автор: Ming-Sung HUNG,Yu-Kuei LEE,Cheng-Nan KAO,Hung-Hsin Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-02-11.

Liquid replacement method of circulation line in single wafer type liquid processing apparatus

Номер патента: TW201137958A. Автор: Kazuyoshi Eshima. Владелец: Tokyo Electron Ltd. Дата публикации: 2011-11-01.

Acoustic Assisted Single Wafer Wet Clean For Semiconductor Wafer Process

Номер патента: US20140034096A1. Автор: Mui David,KON Shih-Chung,Peng Grant. Владелец: LAM RESEARCH CORPORATION. Дата публикации: 2014-02-06.

Wafer clamping device for single-wafer wet cleaning process chamber

Номер патента: CN113210322B. Автор: 王泽飞,李春元. Владелец: Hua Hong Semiconductor Wuxi Co Ltd. Дата публикации: 2022-10-28.

Single wafer chamber for using semiconductor wafer cleaning apparatus

Номер патента: KR100414022B1. Автор: 박상빈,하굉호. Владелец: 동부전자 주식회사. Дата публикации: 2004-01-07.

Single wafer load lock with internal wafer transport

Номер патента: US20020031420A1. Автор: Tony Kroeker. Владелец: Applied Materials Inc. Дата публикации: 2002-03-14.

Wafer spray configurations for a single wafer processing apparatus

Номер патента: US20020063169A1. Автор: Steven Verhaverbeke,J. Truman. Владелец: Applied Materials Inc. Дата публикации: 2002-05-30.

Single wafer processing environments with spatial separation

Номер патента: US20240096688A1. Автор: Mandyam Sriram,Michael Robert Rice,Sanjeev Baluja,Joseph AuBuchon. Владелец: Applied Materials Inc. Дата публикации: 2024-03-21.

Single wafer processing environments with spatial separation

Номер патента: US11894257B2. Автор: Michael Rice,Mandyam Sriram,Sanjeev Baluja,Joseph AuBuchon. Владелец: Applied Materials Inc. Дата публикации: 2024-02-06.

ETCHING SOLUTION RECYCLING SYSTEM AND METHOD FOR WAFER ETCHING APPARATUS

Номер патента: US20190099694A1. Автор: FAN Che-Lun. Владелец: . Дата публикации: 2019-04-04.

Particle improvement for single wafer apparatus

Номер патента: US20160040286A1. Автор: Ming-Sung HUNG,Yu-Kuei LEE,Cheng-Nan KAO,Hung-Hsin Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-02-11.

PROCESS FOR TREATING AN SOI SUBSTRATE IN A SINGLE WAFER CLEANER

Номер патента: US20200083065A1. Автор: Viravaux Laurent,Ledrappier Sébastien. Владелец: . Дата публикации: 2020-03-12.

Single Wafer Processing Environments With Spatial Separation

Номер патента: US20190131167A1. Автор: SRIRAM Mandyam,Rice Michael,Baluja Sanjeev,AuBuchon Joseph. Владелец: . Дата публикации: 2019-05-02.

METHOD FOR PROCESSING A SUBSTRATE HAVING A DISK-SHAPE WITH A SINGLE-WAFER TYPE PROCESS DEVICE

Номер патента: US20180254201A1. Автор: Suzuki Hideaki,FUNABASHI Michimasa,OTOKUNI Kenji,EDO Hiroki. Владелец: . Дата публикации: 2018-09-06.

Apparatus for cleaning single wafer

Номер патента: KR101206923B1. Автор: 김봉우,이재환,최은석,안진우. Владелец: 주식회사 엘지실트론. Дата публикации: 2012-11-30.

Apparatus and method for single wafer cleaning

Номер патента: KR100841995B1. Автор: 이건호,최은석,김인정,배소익. Владелец: 주식회사 실트론. Дата публикации: 2008-06-27.

Single wafer type cleaning apparatus

Номер патента: KR101478148B1. Автор: 정일용. Владелец: 주식회사 케이씨텍. Дата публикации: 2014-12-31.

Production using single wafer carrier

Номер патента: JPS6444035A. Автор: Takemasa Iwasaki,Sadao Shimosha,Haruo Otani. Владелец: HITACHI LTD. Дата публикации: 1989-02-16.

Apparatus and method for treating substrate in a single wafer

Номер патента: KR100739478B1. Автор: 김주원,성보람찬. Владелец: 세메스 주식회사. Дата публикации: 2007-07-13.

The apparatus and method for cleaning single wafer

Номер патента: KR20110077705A. Автор: 김봉우,이재환,최은석,안진우,유환수. Владелец: 주식회사 엘지실트론. Дата публикации: 2011-07-07.

Single Wafer Type Cleaning Apparatus

Номер патента: KR102358693B1. Автор: 김대성,권오형,김남석,정재형,임주택. Владелец: 삼성전자주식회사. Дата публикации: 2022-02-04.

Method of treating a substrate in a single wafer type

Номер патента: KR100776282B1. Автор: 구교욱,김현종. Владелец: 세메스 주식회사. Дата публикации: 2007-11-13.

Single wafer wet processing equipment

Номер патента: CN111900102A. Автор: 陈贤鸿,吴宗恩. Владелец: Grand Plastic Technology Corp. Дата публикации: 2020-11-06.

Single wafer type cleaning apparatus

Номер патента: KR101398442B1. Автор: 정일용. Владелец: 주식회사 케이씨텍. Дата публикации: 2014-05-26.

Spin Chuck and Single Wafer Type Cleaning Apparatus Having the Same

Номер патента: KR101407388B1. Автор: 김경희. Владелец: 주식회사 케이씨텍. Дата публикации: 2014-06-17.

Apparatus and method for cleaning and drying single wafer

Номер патента: KR101292221B1. Автор: 김봉우,이재환,안진우. Владелец: 주식회사 엘지실트론. Дата публикации: 2013-08-02.

Single wafer storage container

Номер патента: JP4644035B2. Автор: 幸一 西坂,洋一 枝村,忠弘 大林. Владелец: MIRAIAL CO LTD. Дата публикации: 2011-03-02.

Single wafer processing method and system for processing semiconductor

Номер патента: KR100692706B1. Автор: 사쿠마다케시,고바야시히로카츠. Владелец: 동경 엘렉트론 주식회사. Дата публикации: 2007-03-09.

Single wafer megasonic cleaner method, system, and apparatus

Номер патента: US20030010356A1. Автор: Birol Kuyel. Владелец: Birol Kuyel. Дата публикации: 2003-01-16.

Single-wafer processor

Номер патента: US20090032188A1. Автор: Masato Tsuchiya,Syunichi Ogasawara. Владелец: Mimasu Semiconductor Industry Co Ltd. Дата публикации: 2009-02-05.

Single wafer robotic package

Номер патента: WO1992021145A1. Автор: Randy L. Karl,Dale A. Maenke. Владелец: Fluoroware, Inc.. Дата публикации: 1992-11-26.

Single wafer robotic package

Номер патента: KR0184238B1. Автор: 엘.카리 랜디,에이.민케 데일. Владелец: 플루오로웨어 아이엔시. Дата публикации: 1999-04-15.

Apparatus of treating a substrate in a single wafer type

Номер патента: KR100757848B1. Автор: 임정수. Владелец: 세메스 주식회사. Дата публикации: 2007-09-11.

Assisted rinsing in a single wafer cleaning process

Номер патента: WO2003001576A3. Автор: Steven Verhaverbeke,J Kelly Truman. Владелец: Applied Materials Inc. Дата публикации: 2003-04-03.

Clean tunnel in single wafer processing system

Номер патента: WO2005104220A1. Автор: Osamu Shinohara. Владелец: Daiichi Institution Industry Co., Ltd.. Дата публикации: 2005-11-03.

Apparatus of treating a substrate in a single wafer type and method of the same

Номер патента: KR100992651B1. Автор: 이병창. Владелец: 가부시키가이샤 엠티케이. Дата публикации: 2010-11-05.

Throughput enhancement for single wafer reactor

Номер патента: KR100758567B1. Автор: 탄과이마이클제이. Владелец: 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드. Дата публикации: 2007-09-14.

Single wafer transfer tray

Номер патента: JP4407586B2. Автор: 良祐 田原,博 深沢. Владелец: Murata Machinery Ltd. Дата публикации: 2010-02-03.

Assisted rinsing in a single wafer cleaning process

Номер патента: US20040103915A1. Автор: Steven Verhaverbeke,Kelly Truman. Владелец: Kelly Truman. Дата публикации: 2004-06-03.

Single Wafer Separation System

Номер патента: KR102519871B1. Автор: 손귀욱. Владелец: 손귀욱. Дата публикации: 2023-04-11.

Throughput enhancement for single wafer reactor

Номер патента: KR20020093998A. Автор: 탄과이마이클제이. Владелец: 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드. Дата публикации: 2002-12-16.

Throughput enhancement for single wafer reactor

Номер патента: IL152500A0. Автор: . Владелец: Advanced Tech Materials. Дата публикации: 2003-05-29.

Robot package for single wafer

Номер патента: JP2738779B2. Автор: カール,ランディー・エル,ミーンケ,デイル・エイ. Владелец: FURUOROEA Inc. Дата публикации: 1998-04-08.

Single-wafer processor

Номер патента: WO2006051585A1. Автор: Masato Tsuchiya,Syunichi Ogasawara. Владелец: Mimasu Semiconductor Industry Co., Ltd.. Дата публикации: 2006-05-18.

Single wafer chemical liquid recovery device and method

Номер патента: CN114130782A. Автор: 刘瑞昕. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2022-03-04.

Method of treating a substrate in a single wafer type

Номер патента: KR100749549B1. Автор: 이택엽,오래택. Владелец: 세메스 주식회사. Дата публикации: 2007-08-14.

Assisted rinsing in a single wafer cleaning process

Номер патента: US20020062840A1. Автор: Steven Verhaverbeke,J. Truman. Владелец: Applied Materials Inc. Дата публикации: 2002-05-30.

FOCUS RING HEIGHT ADJUSTING DEVICE AND WAFER ETCHING APPARATUS INCLUDING THE SAME

Номер патента: US20200168442A1. Автор: KIM Byung Kyu,Son Duk Hyun. Владелец: . Дата публикации: 2020-05-28.

WAFER ETCHING SYSTEM AND WAFER ETCHING PROCESS USING THE SAME

Номер патента: US20150262854A1. Автор: UCHIYAMA Masahiko,Park Saeng-Man,You Ki-Yong. Владелец: . Дата публикации: 2015-09-17.

Cathode for wafer etching the manufacturing method thereof

Номер патента: KR100540992B1. Автор: 이준호,이두로. Владелец: 코리아세미텍 주식회사. Дата публикации: 2006-01-11.

Semiconductor wafer etching equipment

Номер патента: KR970015307U. Автор: 이상중. Владелец: 엘지반도체주식회사. Дата публикации: 1997-04-28.

Cathode for wafer etching

Номер патента: KR200305884Y1. Автор: 이준호,이두로. Владелец: 코리아세미텍 주식회사. Дата публикации: 2003-03-03.

Device and method for improving wafer etching non-uniformity

Номер патента: CN105140158A. Автор: 滕宇. Владелец: Beijing Sevenstar Electronics Co Ltd. Дата публикации: 2015-12-09.

Sealed holder for semiconductor wafer etching

Номер патента: DE19728962A1. Автор: Hans Dr Rer Nat Kretschmer,Hans Moritz. Владелец: SIEMENS AG. Дата публикации: 1999-01-07.

Silicon wafer etching device for semiconductor integrated circuit

Номер патента: CN112885698A. Автор: 莫维伟. Владелец: Individual. Дата публикации: 2021-06-01.

Wafer etching device

Номер патента: KR970025802U. Автор: 김혜동. Владелец: 엘지반도체주식회사. Дата публикации: 1997-06-20.

Baffle for wafer etching equipment

Номер патента: KR970003194U. Автор: 윤학순. Владелец: 현대전자산업주식회사. Дата публикации: 1997-01-24.

Apparatus for plasma generated using semiconductor wafer etching process

Номер патента: KR100429378B1. Автор: 이강룡. Владелец: 주식회사 이디디. Дата публикации: 2004-04-29.

Electrode for preventing leakage of liquefied gas of semiconuctor wafer etching device

Номер патента: KR200184171Y1. Автор: 노태호. Владелец: 현대반도체주식회사. Дата публикации: 2000-08-01.

Cathode for wafer etching

Номер патента: KR100754363B1. Автор: 이준호,김동해,이두로. Владелец: 코리아세미텍 주식회사. Дата публикации: 2007-08-31.

Wafer seating mechanism in wafer etching equipment

Номер патента: KR960015594U. Автор: 백인기. Владелец: 엘지반도체주식회사. Дата публикации: 1996-05-17.

Semiconductor wafer etching equipment

Номер патента: KR970015297U. Автор: 윤철수. Владелец: 현대반도체주식회사. Дата публикации: 1997-04-28.

Etching apparatus and etching method using the same

Номер патента: TW200823995A. Автор: Jeong-Beom Lee,Duck-Ho Kim,Myung-Gon Song,Sung-Min Na,Sung-Ho Cha,Dae-Sik Junn,Kyoung-Jin Lim. Владелец: Jusung Eng Co Ltd. Дата публикации: 2008-06-01.

Etching apparatus

Номер патента: KR100225732B1. Автор: Hirohito Watanabe,Misusuge Kyogoku. Владелец: Asm Japan. Дата публикации: 1999-10-15.

Ion beam etching apparatus and method

Номер патента: US12087558B2. Автор: Po-Chin Chang,Pinyen Lin,Li-Te Lin,Jung-Hao CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-10.

PLASMA PROCESSING APPARATUS AND PLASMA ETCHING APPARATUS

Номер патента: US20140262025A1. Автор: Matsumoto Naoki,Tsukamoto Takashi,Ozu Toshihisa,TAKAI Kazuto. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-09-18.

SEMICONDUCTOR ETCHING APPARATUS AND ANALYZING APPARATUS

Номер патента: US20140262029A1. Автор: TAMAKI Kenji,SHIRAISHI Daisuke,ASAKURA Ryoji,KAGOSHIMA Akira. Владелец: HITACHI HIGH-TECHNOLOGIES CORPORATION. Дата публикации: 2014-09-18.

Thickness measuring apparatus, wet etching apparatus using the same, and wet etching method

Номер патента: JP4486217B2. Автор: 輝雄 高橋,元之 渡邉. Владелец: Hamamatsu Photonics KK. Дата публикации: 2010-06-23.

SUBSTRATE ETCHING METHOD AND SUBSTRATE ETCHING APPARATUS

Номер патента: US20130078747A1. Автор: SHIRAISHI Masatoshi,IWATSU Haruo,KITAHARA Shigenori. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-03-28.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20130203260A1. Автор: Hayakawa Takashi,Hara Kenichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-08-08.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20140076849A1. Автор: MORIYA Shuji. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-03-20.

ETCHING APPARATUS

Номер патента: US20150013908A1. Автор: Nishimura Eiichi,Kotsugi Tadashi,Yamashita Fumiko. Владелец: . Дата публикации: 2015-01-15.

DRY ETCHING APPARATUS

Номер патента: US20170032987A1. Автор: Lee Hyung-Joo,Kim Kwang-Nam,Baek Kye-hyun,Hong Jong-Seo,TOMOYASU Masayuki. Владелец: . Дата публикации: 2017-02-02.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20170032990A1. Автор: Yao Akifumi,Miyazaki Tatsuo,TACHIBANA Mitsuhiro,LIN Jun,YAMAUCHI Kunihiro,TAKEYA Koji. Владелец: . Дата публикации: 2017-02-02.

ANALYSIS METHOD AND SEMICONDUCTOR ETCHING APPARATUS

Номер патента: US20150083328A1. Автор: TAMAKI Kenji,SHIRAISHI Daisuke,ASAKURA Ryoji,KAGOSHIMA Akira. Владелец: . Дата публикации: 2015-03-26.

Non-plasma dry etching apparatus

Номер патента: US20140166206A1. Автор: Hiroshi Tanabe,Ichiro Nakayama,Naoshi Yamaguchi. Владелец: Panasonic Corp. Дата публикации: 2014-06-19.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20210090912A1. Автор: SHINDO Naoki,KUWAJIMA Ryo,TODA Satoshi. Владелец: . Дата публикации: 2021-03-25.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20150140821A1. Автор: Kubota Kazuhiro. Владелец: . Дата публикации: 2015-05-21.

LOCAL DRY ETCHING APPARATUS

Номер патента: US20200135429A1. Автор: OBARA Yasushi. Владелец: . Дата публикации: 2020-04-30.

PLASMA ETCHING APPARATUS

Номер патента: US20140231017A1. Автор: Takahashi Masahiko,NISHIZUKA Tetsuya,Ozu Toshihisa. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-08-21.

GROUNDING CAP MODULE, GAS INJECTION DEVICE AND ETCHING APPARATUS

Номер патента: US20190148111A1. Автор: CHEN Shih-Tsung,Liu Li-Shi. Владелец: Taiwan Semiconductor Manufacturing Co., Ltd.. Дата публикации: 2019-05-16.

Dry etching apparatus

Номер патента: US20170186588A1. Автор: Che-Cheng Chang,Chih-Han Lin,Jr-Jung LIN,Chang-Yin Chen,Tung-Wen CHENG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-29.

ANALYSIS METHOD AND SEMICONDUCTOR ETCHING APPARATUS

Номер патента: US20190189397A1. Автор: TAMAKI Kenji,SHIRAISHI Daisuke,ASAKURA Ryoji,KAGOSHIMA Akira. Владелец: HITACHI HIGH-TECHNOLOGIES CORPORATION. Дата публикации: 2019-06-20.

WET ETCHING APPARATUS

Номер патента: US20140290859A1. Автор: KOBAYASHI Nobuo,HAMADA Koichi,KUROKAWA Yoshiaki. Владелец: SHIBAURA MECHATRONICS CORPORATION. Дата публикации: 2014-10-02.

Capacitively Coupled Plasma Etching Apparatus

Номер патента: US20200194230A1. Автор: Huang Yunwen,Zhao Jinlong,Ni Tuqiang,Wu Lei,LIANG Jie. Владелец: . Дата публикации: 2020-06-18.

Non-plasma dry etching apparatus

Номер патента: US20140305590A1. Автор: Hiroshi Tanabe,Yasushi Taniguchi,Naoshi Yamaguchi. Владелец: Panasonic Corp. Дата публикации: 2014-10-16.

ETCHING METHOD OF GLASS SUBSTRATE AND WET ETCHING APPARATUS THEREOF

Номер патента: US20170243768A1. Автор: Li Jia. Владелец: SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD.. Дата публикации: 2017-08-24.

ETCHING APPARATUS

Номер патента: US20150270148A1. Автор: SHINODA Kazunori,MAEDA Kenji,TETSUKA Tsutomu. Владелец: . Дата публикации: 2015-09-24.

LOCAL DRY ETCHING APPARATUS

Номер патента: US20170278674A1. Автор: OBARA Yasushi. Владелец: SPEEDFAM Co., Ltd.. Дата публикации: 2017-09-28.

PLASMA FOCUS RING OF SEMICONDUCTOR ETCHING APPARATUS AND MANUFACTURING METHOD THEREOF

Номер патента: US20200312635A1. Автор: KIM Ki Sang,LEE Weon Gyu,JUN Jae Hong. Владелец: . Дата публикации: 2020-10-01.

JIG AND PLASMA ETCHING APPARATUS INCLUDING THE SAME

Номер патента: US20150357162A1. Автор: KIM Sang-won,Shin In-Sup. Владелец: . Дата публикации: 2015-12-10.

GAS PHASE ETCHING APPARATUS

Номер патента: US20150364348A1. Автор: Park Yong Sung,Kim Dong Yeul,Park Young-Woo. Владелец: . Дата публикации: 2015-12-17.

PLASMA ETCHING APPARATUS MEMBER HAVING IMPROVED PLASMA-RESISTANT PROPERTIES AND MANUFACTURING METHOD THEREFOR

Номер патента: US20200354827A1. Автор: KIM Suntae,KO HYUNCHUL,JEONG DONGHUN. Владелец: . Дата публикации: 2020-11-12.

PLASMA ETCHING APPARATUS

Номер патента: US20160379807A1. Автор: BURGESS STEPHEN R.,MONCRIEFF IAN,Rich Paul,Thomas Adrian,WILBY ANTHONY PAUL,DENSLEY PAUL,WIDDICKS CLIVE L.. Владелец: . Дата публикации: 2016-12-29.

Grounding cap module, gas injection device and etching apparatus

Номер патента: US20200402763A1. Автор: Shih-Tsung Chen,Li-Shi Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-12-24.

Plasma etching apparatus

Номер патента: KR101500995B1. Автор: 성덕용. Владелец: 삼성전자 주식회사. Дата публикации: 2015-03-18.

Wafer edge etch apparatus and method of wafer edge etch using the same

Номер патента: KR100905845B1. Автор: 김도형. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-07-02.

Method for removing by product of plasma etching apparatus

Номер патента: KR100620178B1. Автор: 김태훈. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-09-04.

Wafer chuck for an etching apparatus

Номер патента: KR0125237Y1. Автор: 백인기. Владелец: 문정환. Дата публикации: 1999-02-18.

Etching apparatus of semiconductor process

Номер патента: KR0129924Y1. Автор: 염용주. Владелец: 문정환. Дата публикации: 1999-02-01.

Plasma etching apparatus

Номер патента: KR100558929B1. Автор: 이승훈,김영철,윤석문,정병국,문종. Владелец: 주식회사 리드시스템. Дата публикации: 2006-03-10.

Etching apparatus having fume blocking function

Номер патента: KR102068047B1. Автор: 인치성,남한우. Владелец: (주)한빛테크놀로지. Дата публикации: 2020-01-20.

Plasma etching apparatus, plasma etching system and method of etching a substrate using the same

Номер патента: KR101317160B1. Автор: 김근호,이중희,전선규. Владелец: (주)소슬. Дата публикации: 2013-10-11.

Plasma etching apparatus

Номер патента: KR100646318B1. Автор: 김태훈. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2006-11-23.

Chamber assembly for etching apparatus

Номер патента: KR200169709Y1. Автор: 강종대. Владелец: 현대반도체주식회사. Дата публикации: 2000-02-01.

Etching apparatus

Номер патента: KR100236099B1. Автор: 안경호. Владелец: 현대반도체주식회사. Дата публикации: 1999-12-15.

Plasma etching apparatus and plasma etching method

Номер патента: CN104616956A. Автор: 杨盟. Владелец: Beijing North Microelectronics Co Ltd. Дата публикации: 2015-05-13.

Etching apparatus of semiconductor wafer

Номер патента: KR0137937Y1. Автор: 오동민. Владелец: 문정환. Дата публикации: 1999-03-20.

Plasma etching apparatus

Номер патента: KR20070114949A. Автор: 이병삼,장경호,강태우,임장빈. Владелец: 삼성전자주식회사. Дата публикации: 2007-12-05.

Dry etching method and dry etching apparatus by using high density plasma source

Номер патента: KR100419033B1. Автор: 김준태,석창길,손상현,강순석. Владелец: (주)울텍. Дата публикации: 2004-02-21.

Semiconductor etching apparatus

Номер патента: KR100340869B1. Автор: 조상호,성원호,정희식. Владелец: 박종섭. Дата публикации: 2002-06-20.

Etching apparatus of wafer

Номер патента: KR930006786Y1. Автор: 한경섭. Владелец: 금성일렉트론 주식회사. Дата публикации: 1993-10-06.

Wafer edge etching apparatus

Номер патента: KR101039587B1. Автор: 노길식. Владелец: (주)케이에스텍. Дата публикации: 2011-06-09.

Plasma etching apparatus

Номер патента: KR20010109771A. Автор: 구도서. Владелец: 윤종용. Дата публикации: 2001-12-12.

Plasma etching apparatus for space manufacture

Номер патента: KR200167583Y1. Автор: 장성호,김영선,강영수,윤승영. Владелец: 현대전자산업주식회사. Дата публикации: 2000-02-01.

dry etching apparatus for liquid crystal display device

Номер патента: KR101147103B1. Автор: 유광종. Владелец: 엘지디스플레이 주식회사. Дата публикации: 2012-05-17.

ETCHING APPARATUS AND METHOD OF CONTROLLING SAME

Номер патента: US20230008074A1. Автор: LEE SEUNG HOON,MO Sung Won,LEE Yang Ho,HAN Heung Soo,BAE Jeong Hyun. Владелец: ZEUS CO., LTD.. Дата публикации: 2023-01-12.

Anode anti-etching apparatus of plasma etching machine

Номер патента: KR950006636Y1. Автор: 박치균. Владелец: 금성일렉트론 주식회사. Дата публикации: 1995-08-16.

Plasma etching apparatus for semiconductor

Номер патента: KR100266668B1. Автор: 황보영범. Владелец: 현대반도체주식회사. Дата публикации: 2000-10-02.

Gas diffusion device for transformer coupled plasma etching apparatus

Номер патента: KR200181399Y1. Автор: 박신승,박선동. Владелец: 현대전자산업주식회사. Дата публикации: 2000-05-15.

Dry Etching Apparatus

Номер патента: KR100626279B1. Автор: 정창성. Владелец: 엘지.필립스 엘시디 주식회사. Дата публикации: 2006-09-22.

Dry etching apparatus

Номер патента: KR20060013987A. Автор: 김광옥. Владелец: 삼성전자주식회사. Дата публикации: 2006-02-14.

Plasma etching apparatus and plasma etching method thereof

Номер патента: KR100951475B1. Автор: 박종호,김남진,김광태,조호용,김정태,김하종. Владелец: (주)타이닉스. Дата публикации: 2010-04-07.

Electrode plate for plasma etching and plasma etching apparatus

Номер патента: CN102420089A. Автор: 长山将之,佐藤直行,长久保启一. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-04-18.

Tary and dry etching apparatus using the same

Номер патента: KR20100004194A. Автор: 최종용. Владелец: 주성엔지니어링(주). Дата публикации: 2010-01-13.

A pumping device and controlling method of etching apparatus for semiconductor device fabrication

Номер патента: KR100217502B1. Автор: 민병호,김흥태. Владелец: 윤종용. Дата публикации: 1999-10-01.

Dry etching apparatus

Номер патента: CN108565231A. Автор: 王帆. Владелец: Wuhan China Star Optoelectronics Technology Co Ltd. Дата публикации: 2018-09-21.

Method of monitoring output intensity of laser beam in bevel etching apparatus

Номер патента: US09905485B2. Автор: Masaki Kondo. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-02-27.

Plasma etching apparatus

Номер патента: US20100133234A1. Автор: Hiroshi Suzuki,Tetsuo Yoshida,Ryoichi Yoshida,Michishige Saito,Akira Obi,Toshikatsu Wakaki,Hayato Aoyama. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-06-03.

Dry etching apparatus using reactive ions

Номер патента: US4526643A. Автор: Haruo Okano,Takashi Yamazaki,Yasuhiro Horiike,Hiromichi Horie. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1985-07-02.

Plasma etching method and plasma etching apparatus

Номер патента: US20140076848A1. Автор: Yoichi Nakahara. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-03-20.

Plasma etching method and plasma etching apparatus

Номер патента: US20160268140A1. Автор: Shunichi Mikami. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-09-15.

Etching method and etching apparatus

Номер патента: US09659789B2. Автор: Yoshinobu Ooya,Ryohei Takeda,Ryuichi TAKASHIMA. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-05-23.

Etching Method and Etching Apparatus

Номер патента: US20200234974A1. Автор: TAKAHASHI Nobuhiro,Orii Takehiko,YAMAGUCHI Tatsuya,ASADA Yasuo,Irie Shinji,HAGIWARA Ayano. Владелец: . Дата публикации: 2020-07-23.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20160268140A1. Автор: Mikami Shunichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2016-09-15.

Single-wafer single-side polishing method and single-wafer single-side polishing apparatus for semiconductor wafer

Номер патента: CN107431006B. Автор: 川崎智宪. Владелец: Sumco Corp. Дата публикации: 2020-10-09.

Improved method of antisotropically etching silicon, wafers and wafer etching solution.

Номер патента: MY105972A. Автор: Wilbur Austin Larry,George Linde Harold. Владелец: Ibm. Дата публикации: 1995-02-28.

WAFER ETCHING PROCESS AND METHODS THEREOF

Номер патента: US20210225658A1. Автор: Lu Wen-Hsiung,Cheng Ming-Da,Lin Wei-Hung,Huang Hui-Min,Liu Hsu-Lun,Yen Chen-En. Владелец: . Дата публикации: 2021-07-22.

Semiconductor Wafer Etching Equipment

Номер патента: KR200211257Y1. Автор: 송병성. Владелец: 현대반도체주식회사. Дата публикации: 2001-06-01.

Silicon wafer etching method and apparatus, and impurity analysis method

Номер патента: US7686973B2. Автор: Hiroshi Horie,Katsuya Hirano. Владелец: Sumitomo Mitsubishi Silicon Corp. Дата публикации: 2010-03-30.

Method of reducing wafer etching defect

Номер патента: US20040067654A1. Автор: Chun-Wei Chen,Nien-Yu Tsai,Hong-Long Chang. Владелец: Promos Technologies Inc. Дата публикации: 2004-04-08.

Wafer etching method

Номер патента: TWI515790B. Автор: . Владелец: Beijing NMC Co Ltd. Дата публикации: 2016-01-01.

Etching method, etching apparatus, and computer-readable recording medium

Номер патента: TW201250826A. Автор: Aki AKIBA. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-12-16.

Etching method, etching apparatus, and manufacturing method of semiconductor device

Номер патента: TW200416859A. Автор: Shinji Fujii. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2004-09-01.

Etching method, etching apparatus, and manufacturing method of semiconductor device

Номер патента: TWI238462B. Автор: Shinji Fujii. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2005-08-21.

Plasma etching method and plasma etching apparatus

Номер патента: TW201209914A. Автор: Seiji Ogata,Manabu Yoshii,Yasuhiro Morikawa. Владелец: Ulvac Inc. Дата публикации: 2012-03-01.

Plasma etching method and plasma etching apparatus

Номер патента: US09524876B2. Автор: Masanobu Honda,Toru Hisamatsu. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-12-20.

ETCHING APPARATUS AND ETCHING METHOD AND DETECTING APPARATUS OF FILM THICKNESS

Номер патента: US20210225674A1. Автор: Minemura Hiroyuki,Eto Soichiro,Usui Tatehito. Владелец: . Дата публикации: 2021-07-22.

Semiconductor etching apparatus and etching method of semiconductor devices using the semiconductor etching apparatus

Номер патента: KR20020017447A. Автор: 지경구,정승필. Владелец: 윤종용. Дата публикации: 2002-03-07.

ETCHING APPARATUS

Номер патента: US20130118688A1. Автор: NISHINO Masaru,Tahara Shigeru. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-05-16.

PLASMA ETCHING APPARATUS COMPONENT AND MANUFACTURING METHOD FOR THE SAME

Номер патента: US20130251949A1. Автор: HINO Takashi,SATO Michio,NAKATANI Masashi,Rokutanda Takashi. Владелец: . Дата публикации: 2013-09-26.

SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20130302993A1. Автор: KUSHIBIKI Masato,Nishimura Eiichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-11-14.

ETCHING METHOD, ETCHING APPARATUS AND CHEMICAL SOLUTION

Номер патента: US20140073069A1. Автор: Uozumi Yoshihiro,Takami Nagisa. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2014-03-13.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20150004795A1. Автор: Ishii Takayuki. Владелец: . Дата публикации: 2015-01-01.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20150024603A1. Автор: Kitamura Akinori,Yasuda Kenta,Ishida Shunsuke. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-01-22.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20220051899A1. Автор: TOMURA Maju,SUDA Ryutaro. Владелец: . Дата публикации: 2022-02-17.

PLASMA ETCHING APPARATUS AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20180053661A1. Автор: LEE Jae-hyun,PARK MIN-JOON,KIM TAE-HWA,KWON SANG-DONG. Владелец: . Дата публикации: 2018-02-22.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20210066089A1. Автор: HONDA Masanobu,KIHARA Yoshihide,YOKOYAMA Takahiro,TOMURA Maju. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2021-03-04.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20210082656A1. Автор: GOKI Yusuke. Владелец: . Дата публикации: 2021-03-18.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20140162463A1. Автор: TAKASHIMA Ryuichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-06-12.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20190088497A1. Автор: KOBAYASHI Fumiya,TOMURA Maju,KITAGAITO Keiji. Владелец: . Дата публикации: 2019-03-21.

DRY ETCHING APPARATUS AND METHOD

Номер патента: US20160141183A1. Автор: Izawa Masaru,MORI Masahito,Yagi Katsushi. Владелец: . Дата публикации: 2016-05-19.

Local dry etching apparatus and local dry etching fabrication method

Номер патента: US20160203989A1. Автор: Yasushi Obara. Владелец: SpeedFam Co Ltd. Дата публикации: 2016-07-14.

PLASMA PROCESSING METHOD AND ETCHING APPARATUS

Номер патента: US20210242030A1. Автор: Kobayashi Hiroyuki,Izawa Masaru,SHINODA Kazunori,KAWAMURA Kohei,Otake Hiroto. Владелец: . Дата публикации: 2021-08-05.

Plasma etching method and plasma etching apparatus

Номер патента: US20200294812A1. Автор: Fumiya Kobayashi,Maju TOMURA,Keiji Kitagaito. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-09-17.

Plasma etching method and plasma etching apparatus

Номер патента: US20150332929A1. Автор: Masanobu Honda,Toru Hisamatsu. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-11-19.

PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD

Номер патента: US20200312622A1. Автор: Tanaka Satoshi. Владелец: . Дата публикации: 2020-10-01.

Etching Apparatus and Method

Номер патента: US20150348852A1. Автор: Pilch Karl. Владелец: . Дата публикации: 2015-12-03.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20180342401A1. Автор: KOIWA Kosuke. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2018-11-29.

Etching Method and Etching Apparatus

Номер патента: US20190348299A1. Автор: ISHIZAKA Tadahiro,ITATANI Takeshi,SAKAMOTO Masato. Владелец: . Дата публикации: 2019-11-14.

METHOD OF ETCHING AT LOW TEMPERATURE AND PLASMA ETCHING APPARATUS

Номер патента: US20190385860A1. Автор: Kim Moonseok,SONG Changwoo,JEONG Seongha,LEE CHEONKYU,Byun Iksu,Han Dongseok. Владелец: . Дата публикации: 2019-12-19.

Surface wave coupled etching apparatus

Номер патента: KR100263902B1. Автор: 이철규. Владелец: 윤종용. Дата публикации: 2000-09-01.

Plasma etching method and plasma etching apparatus

Номер патента: KR102046193B1. Автор: 아키노리 기타무라,겐타 야스다,?스케 이시다. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2019-11-18.

Surface wave plasma etching apparatus

Номер патента: JPH11340212A. Автор: Chol-Kyu Lee,哲 圭 李. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 1999-12-10.

Dry etching apparatus and dry etching method

Номер патента: JP5808697B2. Автор: 勝 伊澤,政士 森,森 政士,伊澤 勝,勝嗣 八木. Владелец: Hitachi High Technologies Corp. Дата публикации: 2015-11-10.

Pattern etching apparatus

Номер патента: JPS614231A. Автор: Seiichi Iwamatsu,誠一 岩松. Владелец: Suwa Seikosha KK. Дата публикации: 1986-01-10.

Plasma etching method and plasma etching apparatus

Номер патента: CN101604630B. Автор: 佐佐木胜. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-01-28.

Etching Apparatus

Номер патента: KR100526928B1. Автор: 김동철,이진석,이재봉,최인호,김정욱,백동석. Владелец: 삼성전자주식회사. Дата публикации: 2005-11-09.

Structure of chamber in etching apparatus of Inductive coupling plasma

Номер патента: KR100470999B1. Автор: 이동복. Владелец: 삼성전자주식회사. Дата публикации: 2005-03-11.

Dry etching apparatus

Номер патента: KR910009321B1. Автор: 야스오 다나까,가즈유끼 도미다,마스오 탄노. Владелец: 다니이 아끼오. Дата публикации: 1991-11-09.

Etching method and etching apparatus

Номер патента: KR100967458B1. Автор: 토시히사 노자와,테츠야 니시즈카. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2010-07-01.

Dry etching apparatus and dry etching method

Номер патента: JPWO2008140012A1. Автор: 俊雄 林,泰宏 森川,紅コウ 鄒,森川 泰宏,鄒 紅コウ,林 俊雄. Владелец: Ulvac Inc. Дата публикации: 2010-08-05.

Silicon substrate etching method and etching apparatus

Номер патента: WO2003030239A1. Автор: Kazuo Kasai,Yoshiyuki Nozawa,Hiroaki Kouno. Владелец: Sumitomo Precision Products Co., Ltd.. Дата публикации: 2003-04-10.

Etching apparatus and etching method for substrate bevel

Номер патента: TW200746295A. Автор: Shinichi Imai. Владелец: Matsushita Electric Ind Co Ltd. Дата публикации: 2007-12-16.

Etching apparatus

Номер патента: JPS59231818A. Автор: Yasuyuki Harada,康之 原田. Владелец: PURETETSUKU KK. Дата публикации: 1984-12-26.

Electron cyclotron resonance (ecr) plasma etching process and ecr plasma etching apparatus

Номер патента: EP0407169A3. Автор: Satoru Mihara,Takushi Motoyama. Владелец: Fujitsu Ltd. Дата публикации: 1991-09-11.

The manufacture method of semiconductor device and plasma-etching apparatus

Номер патента: CN102169823B. Автор: 栉引理人,西村荣一. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-11-25.

Manufacturing device for focus ring of dry etching apparatus

Номер патента: KR101631796B1. Автор: 임재석. Владелец: 주식회사 티씨케이. Дата публикации: 2016-06-20.

Single-wafer cleaning treatment device and wafer cleaning method

Номер патента: WO2016203680A1. Автор: 達夫 阿部,泉 新井,健作 五十嵐,秀幸 室岡. Владелец: 信越半導体株式会社. Дата публикации: 2016-12-22.

Single wafer side polishing method for silicon wafer

Номер патента: JP2018101695A. Автор: 一樹 西岡,Kazuki Nishioka. Владелец: Sumco Corp. Дата публикации: 2018-06-28.

Single wafer cleaning with ozone

Номер патента: US20040103919A1. Автор: Eric Bergman,Dana Scranton,Michael Kenny,Brian Aegeter. Владелец: Individual. Дата публикации: 2004-06-03.

DIFFERING DEVICE CHARACTERISTICS ON A SINGLE WAFER BY SELECTIVE ETCH

Номер патента: US20200058555A1. Автор: Mochizuki Shogo,Bao Ruqiang,Tsutsui Gen,Zhou Huimei. Владелец: . Дата публикации: 2020-02-20.

DIFFERING DEVICE CHARACTERISTICS ON A SINGLE WAFER BY SELECTIVE ETCH

Номер патента: US20200227322A1. Автор: Mochizuki Shogo,Bao Ruqiang,Tsutsui Gen,Zhou Huimei. Владелец: . Дата публикации: 2020-07-16.

A kind of large dimond single wafer surface chemical mechanical polishing apparatus

Номер патента: CN108621022A. Автор: 王盛林,王笃福,王希玮. Владелец: Jinan Zhongwu New Materials Co Ltd. Дата публикации: 2018-10-09.

Silicon wafer etching process and composition

Номер патента: US20080099717A1. Автор: Mark Stinson,Henry Erk,Guoqiang (David) Zhang. Владелец: SunEdison Inc. Дата публикации: 2008-05-01.

Silicon wafer etching compositions

Номер патента: US7938982B2. Автор: Guoqiang Zhang,Mark G. Stinson,Henry F. Erk. Владелец: SunEdison Inc. Дата публикации: 2011-05-10.

GaAs 웨이퍼(wafer)의 에칭(etching)방법

Номер патента: KR920018855A. Автор: 윤정수,이만우,최민호,윤현재. Владелец: 금성전선 주식회사. Дата публикации: 1992-10-22.

Etching apparatus

Номер патента: US09691643B2. Автор: Eiichi Nishimura,Fumiko Yamashita,Tadashi Kotsugi. Владелец: Tokyo Electron Ltd. Дата публикации: 2017-06-27.

Plasma etching method and plasma etching apparatus

Номер патента: US20140073113A1. Автор: Yoichi Nakahara. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-03-13.

Plasma etching method and plasma etching apparatus

Номер патента: US12062522B2. Автор: Fumiya Kobayashi,Maju TOMURA,Keiji Kitagaito. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-08-13.

Plasma etching apparatus

Номер патента: TW422893B. Автор: Jong-Heui Song,Se-Hyeong Lee,Min-Woong Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2001-02-21.

Etching method and etching apparatus

Номер патента: US09991138B2. Автор: Akifumi YAO,Mitsuhiro Tachibana,Koji Takeya,Tatsuo Miyazaki,Kunihiro Yamauchi,Jun Lin. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-06-05.

Etching apparatus and method

Номер патента: US09688569B2. Автор: Karl Pilch. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-06-27.

Etching method and etching apparatus

Номер патента: US12142495B2. Автор: Nobuhiro Takahashi,Toshiki KANAKI,Megumi UMEMOTO. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-11-12.

Plasma etching method and plasma etching apparatus

Номер патента: US09530666B2. Автор: Hideki Mizuno,Kumiko Yamazaki. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-12-27.

Etching method and etching apparatus

Номер патента: US09396968B2. Автор: Kazuhiro Kubota. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-07-19.

Etching apparatus

Номер патента: US6843069B2. Автор: Hideki Harano,Hirofumi Seo. Владелец: NEC Electronics Corp. Дата публикации: 2005-01-18.

Etching Apparatus

Номер патента: US20170053809A1. Автор: CHEN Hai-Ching,BAO Tien-I,Lee Wan-Yu,Kuo Ying-Hao. Владелец: . Дата публикации: 2017-02-23.

Etching Method, Etching Apparatus, and Storage Medium

Номер патента: US20200098575A1. Автор: TAKAHASHI Nobuhiro,ASADA Yasuo. Владелец: . Дата публикации: 2020-03-26.

PLASMA ETCHING APPARATUS AND METHOD

Номер патента: US20190115192A1. Автор: KOBAYASHI Noriyuki,Tahara Shigeru,Koshiishi Akira,YONEDA Shigeru,Hanawa Kenichi,Sugimoto Masaru. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2019-04-18.

Manufacturing method of semiconductor device and dry etching apparatus for the same

Номер патента: US20140273482A1. Автор: Masaki Matsui,Yoshinori Tsuchiya,Shinichi Hoshi. Владелец: Denso Corp. Дата публикации: 2014-09-18.

Etching Method and Etching Apparatus

Номер патента: US20190221440A1. Автор: Orii Takehiko,Suzuki Kento,ASADA Yasuo. Владелец: . Дата публикации: 2019-07-18.

Etching method of etching apparatus

Номер патента: US20220359173A1. Автор: Shih-Chieh Lin,Shuen-Hsiang Ke. Владелец: Winbond Electronics Corp. Дата публикации: 2022-11-10.

Dry etching apparatus

Номер патента: JPS60234324A. Автор: Yoshihiko Nio,仁尾 吉彦. Владелец: Nippon Electric Co Ltd. Дата публикации: 1985-11-21.

Etching apparatus and etching method using the same

Номер патента: KR102197736B1. Автор: 권광호,이준명,임노민. Владелец: 고려대학교 세종산학협력단. Дата публикации: 2021-01-04.

Parallel and flat type dry etching apparatus

Номер патента: JPS61174721A. Автор: Toru Watanabe,徹 渡辺,Yasuo Naruge,成毛 康雄. Владелец: Toshiba Corp. Дата публикации: 1986-08-06.

Etching method and etching apparatus

Номер патента: CN114639602A. Автор: 清水昭贵,细野真树,佐藤枢. Владелец: Tokyo Electron Ltd. Дата публикации: 2022-06-17.

Etching method and etching apparatus

Номер патента: JP7204348B2. Автор: 聡 戸田,悟 菊島,健 中込,淑恵 小澤,軍 林. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-01-16.

Deep-trench silicon etching apparatus and gas inlet system thereof

Номер патента: KR101322545B1. Автор: 양 저우. Владелец: 베이징 엔엠씨 씨오., 엘티디.. Дата публикации: 2013-10-28.

Plasma etching apparatus

Номер патента: JPS60127731A. Автор: Kazutaka Ikeyama,Yutaka Kihara,池山 一孝,木原 裕. Владелец: NEC Kyushu Ltd. Дата публикации: 1985-07-08.

Etching method, storage medium and etching apparatus

Номер патента: US9390933B2. Автор: Kohichi Satoh,Kensaku Narushima,Motoko NAKAGOMI,Eiichi Komori,Taiki KATOU. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-07-12.

SINGLE-WAFER-TYPE CLEANING APPARATUS

Номер патента: US20160197000A1. Автор: KIM Dae-Sung,KIM Namsuk,KWON Ohhyung,LIM Jutaek,JUNG Jaehyung. Владелец: . Дата публикации: 2016-07-07.

Single wafer processor apparatus.

Номер патента: EP0530230A1. Автор: Aleksander Owczarz,Raymon F Thompson. Владелец: Semitool Inc. Дата публикации: 1993-03-10.

Process for treating an soi substrate in a single wafer cleaner

Номер патента: TW202029377A. Автор: 羅蘭 維拉佛,賽巴斯提安 雷德拉皮耶. Владелец: 法商梭意泰科公司. Дата публикации: 2020-08-01.

Ion Beam Etching Apparatus And Method

Номер патента: US20240379333A1. Автор: Po-Chin Chang,Pinyen Lin,Li-Te Lin,Jung-Hao CHANG. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

SUBSTRATE MOUNTING TABLE AND PLASMA ETCHING APPARATUS

Номер патента: US20130220545A1. Автор: UEDA Takehiro,Koizumi Katsuyuki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-08-29.

ETCH APPARATUS FOR COMPENSATING SHIFTED OVERLAYERS

Номер патента: US20220102118A1. Автор: Chang Shih-Ming,Yang Chih-Kai,Chang Chun-Yen,Shen Yu-Tien,Chang Ya-Hui. Владелец: . Дата публикации: 2022-03-31.

DRY ETCHING APPARATUS AND CLAMP THEREFOR

Номер патента: US20140224427A1. Автор: Takahashi Shuji. Владелец: FUJIFILM Corporation. Дата публикации: 2014-08-14.

SAMPLE HOLDER AND PLASMA ETCHING APPARATUS USING SAME

Номер патента: US20150364355A1. Автор: KUCHIMACHI Kazuhiro. Владелец: KYOCERA CORPORATION. Дата публикации: 2015-12-17.

Cleaning method and solution for cleaning a wafer in a single wafer process

Номер патента: US20060264343A1. Автор: Steven Verhaverbeke,J. Truman. Владелец: Individual. Дата публикации: 2006-11-23.

Method and apparatus for endpoint detection in a semiconductor wafer etching system

Номер патента: US5151584A. Автор: Manoocher Birang,Peter Ebbing. Владелец: Applied Materials Inc. Дата публикации: 1992-09-29.

Etching apparatus and methods

Номер патента: US09640370B2. Автор: Oliver James Ansell. Владелец: SPTS Technologies Ltd. Дата публикации: 2017-05-02.

Etching apparatus for substrate and method of etching using the same

Номер патента: US09524887B2. Автор: Min-Kyu Shin,Jung-Kun Shin,Hae-Young YOO,Pyoung-Kyu PARK,Woo-Youl PARK. Владелец: Samsung Display Co Ltd. Дата публикации: 2016-12-20.

Substrate etching apparatus and substrate etching method

Номер патента: US20090020503A1. Автор: Seung-lyong Bok,Jung-Sub KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-01-22.

Etching methods, etching apparatus and methods for fabricating semiconductor devices

Номер патента: US20030036285A1. Автор: Takashi Kokubun. Владелец: Seiko Epson Corp. Дата публикации: 2003-02-20.

Etching apparatus and method for semiconductor device

Номер патента: US20080248650A1. Автор: Tae-yong Kwon,Kyung Hyun Han,Kyung Chun Lim,Sang Min Jeong,Dong Yong Sung. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-10-09.

ETCHING METHOD, ETCHING APPARATUS AND STORAGE MEDIUM

Номер патента: US20160005621A1. Автор: Takahashi Hiroyuki,NARUSHIMA Kensaku,TODA Satoshi. Владелец: . Дата публикации: 2016-01-07.

ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20220051902A1. Автор: Tanaka Koki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2022-02-17.

Etching method and etching apparatus

Номер патента: US20210057220A1. Автор: Yusuke Takino,Yusuke Yanagisawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2021-02-25.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20140134847A1. Автор: SEYA Yuta. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-05-15.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20140134848A1. Автор: HONDA Masanobu,HISAMATSU Toru,KIHARA Yoshihide. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-05-15.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20220084835A1. Автор: KUBOI Shuichi,Fukumizu Hiroyuki,IINO Daiki. Владелец: Kioxia Corporation. Дата публикации: 2022-03-17.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190067031A1. Автор: Takahashi Masahiko,Shimizu Yusuke,Kitamura Akinori. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2019-02-28.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20160086817A1. Автор: KOBAYASHI Fumiya,TOMURA Maju,KITAGAITO Keiji. Владелец: . Дата публикации: 2016-03-24.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20220102160A1. Автор: Tahara Shigeru,MAEKAWA Kaoru,Faguet Jacques,Ono Kumiko,SATO Nagisa,DUSSART Remi,TILLOCHER Thomas,LEFAUCHEUX Philippe,ANTOUN Gaëlle. Владелец: . Дата публикации: 2022-03-31.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20220301881A1. Автор: KUMAKURA Sho,KIHARA Yoshihide,SASAGAWA Hironari,TOMURA Maju. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2022-09-22.

Etching processing method and bevel etching apparatus

Номер патента: US20160172257A1. Автор: Masaki Kondo. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-06-16.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190164775A1. Автор: Fuse Takashi. Владелец: . Дата публикации: 2019-05-30.

ETCHING METHOD AND ETCHING APPARATUS

Номер патента: US20190214267A1. Автор: TERASHIMA Ryo. Владелец: . Дата публикации: 2019-07-11.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20150235861A1. Автор: YAMAZAKI Kumiko,MIZUNO Hideki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-08-20.

DRY ETCHING APPARATUS AND DRY ETCHING METHOD

Номер патента: US20210335625A1. Автор: Kofuji Naoyuki,KUWAHARA Kenichi. Владелец: . Дата публикации: 2021-10-28.

ETCHING APPARATUS

Номер патента: US20190393053A1. Автор: Collins Kenneth S.,Ramaswamy Kartik,Guo Yue,Lane Steven,Yang Yang,MONROY Gonzalo,CHEN Lucy Zhiping. Владелец: . Дата публикации: 2019-12-26.

Laser etching apparatus and method of laser etching using the same

Номер патента: KR20170120225A. Автор: 이동훈. Владелец: 삼성디스플레이 주식회사. Дата публикации: 2017-10-31.

Etching method and etching apparatus

Номер патента: JP4128365B2. Автор: 隆幸 勝沼. Владелец: Tokyo Electron Ltd. Дата публикации: 2008-07-30.

Method and single wafer processing system for processing of semiconductor wafers

Номер патента: US12103052B2. Автор: Shan Hu,Ronald Nasman,Peter D'ELIA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-10-01.

Method and single wafer processing system for processing of semiconductor wafers

Номер патента: US20230405642A1. Автор: Shan Hu,Ronald Nasman,Peter D'ELIA. Владелец: Tokyo Electron Ltd. Дата публикации: 2023-12-21.

Method and single wafer processing system for processing of semiconductor wafers

Номер патента: WO2023244358A1. Автор: Shan Hu,Ronald Nasman,Peter D'ELIA. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2023-12-21.

Single-wafer process for fabricating a nonvolatile charge trap memory device

Номер патента: TW200847344A. Автор: Krishnaswamy Ramkumar,Sagy Levy. Владелец: Cypress Semiconductor Corp. Дата публикации: 2008-12-01.

Crystal structure control of polycrystalline silicon in a single wafer chamber

Номер патента: EP1295318A2. Автор: Shulin Wang,Steven A. Chen,Errol Sanchez,Luo Lee. Владелец: Applied Materials Inc. Дата публикации: 2003-03-26.

Methods of combining silicon and III-nitride material on a single wafer

Номер патента: US8866190B2. Автор: Mike Briere. Владелец: International Rectifier Corp USA. Дата публикации: 2014-10-21.

Methods of combining silicon and III-Nitride material on a single wafer

Номер патента: US20060289876A1. Автор: Mike Briere. Владелец: International Rectifier Corp USA. Дата публикации: 2006-12-28.

Apparatus for single-wafer-processing type CVD

Номер патента: US20050098111A1. Автор: Akira Shimizu,Kazuo Sato,Hideaki Fukuda,Baiei Kawano. Владелец: ASM Japan KK. Дата публикации: 2005-05-12.

Method of forming nitrided oxide in a hot wall single wafer furnace

Номер патента: US7094707B1. Автор: Krishnaswamy Ramkumar,Sundar Narayanan. Владелец: Cypress Semiconductor Corp. Дата публикации: 2006-08-22.

Etching apparatus

Номер патента: US09852915B2. Автор: Tien-I Bao,Hai-Ching Chen,Wan-Yu Lee,Ying-hao Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-26.

Etching apparatus

Номер патента: US09490133B2. Автор: Tien-I Bao,Hai-Ching Chen,Wan-Yu Lee,Ying-hao Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-08.

Plasma etching method and plasma etching apparatus

Номер патента: US09460897B2. Автор: Takayuki Katsunuma. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-10-04.

Etching method and etching apparatus

Номер патента: US20130196511A1. Автор: Eiichi Nishimura,Fumiko Yamashita,Tadashi Kotsugi. Владелец: Tokyo Electron Ltd. Дата публикации: 2013-08-01.

ULTRA HIGH-SPEED WET ETCHING APPARATUS

Номер патента: US20130244442A1. Автор: Ohmi Tadahiro,Ohashi Tomotsugu,Yoshikawa Kazuhiro,Yoshida Tetsuro,Uchimura Teppei,Soeda Kazuki. Владелец: . Дата публикации: 2013-09-19.

PLASMA ETCHING APPARATUS AND PLASMA CLEANING METHOD

Номер патента: US20140020709A1. Автор: KIKUCHI Takamichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-01-23.

SUBSTRATE SUPPORT UNIT AND PLASMA ETCHING APPARATUS HAVING THE SAME

Номер патента: US20140224426A1. Автор: KIM Tae Gon,HAN Kyung Hyun,JEON Yun Kwang. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-08-14.

Ring assembly and semiconductor wafer etching device

Номер патента: US20240234103A1. Автор: Hyunchul Jung,Dongjoon Oh,Unbyoung Kang,Jumyong Park,Hyunsu Hwang,Sanghoo Cho. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-07-11.

Plasma etching apparatus

Номер патента: US20050211380A1. Автор: Takayoshi Sawayama. Владелец: Individual. Дата публикации: 2005-09-29.

Silicon part for plasma etching apparatus and method of producing the same

Номер патента: US09472380B2. Автор: Yoshinobu Nakada,Fumitake Kikuchi. Владелец: Mitsubishi Materials Corp. Дата публикации: 2016-10-18.

Plasma etching apparatus

Номер патента: US20020014308A1. Автор: Takayoshi Sawayama. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-02-07.

Etching apparatus and etching method

Номер патента: US09934941B2. Автор: Yasuyuki Sonoda. Владелец: Toshiba Memory Corp. Дата публикации: 2018-04-03.

Method for manufacturing touch screen panels using a dry etching apparatus

Номер патента: US09552122B2. Автор: Bong-Sub Song,Soung-Chang Ku. Владелец: Samsung Display Co Ltd. Дата публикации: 2017-01-24.

Non-uniform gas inlet for dry etching apparatus

Номер патента: US4780169A. Автор: Mark M. Stark,Douglas H. Warenback,David J. Drage. Владелец: CollabRx Inc. Дата публикации: 1988-10-25.

Magnetron sputtering etching apparatus

Номер патента: US4761219A. Автор: Fumihiko Sato,Naoto Sasaki. Владелец: Anelva Corp. Дата публикации: 1988-08-02.

Radio frequency electron cyclotron resonance plasma etching apparatus

Номер патента: US5401351A. Автор: Seiji Samukawa. Владелец: NEC Corp. Дата публикации: 1995-03-28.

Microwave plasma etching apparatus

Номер патента: US4559100A. Автор: Shigeru Nishimatsu,Keizo Suzuki,Yoshifumi Ogawa,Sadayuki Okudaira,Ken Ninomiya. Владелец: HITACHI LTD. Дата публикации: 1985-12-17.

Inductively coupled plasma etching apparatus

Номер патента: WO2001075931A3. Автор: Shu Nakajima. Владелец: Shu Nakajima. Дата публикации: 2002-03-21.

Inductively coupled plasma etching apparatus

Номер патента: EP1269513A2. Автор: Shu Nakajima. Владелец: Lam Research Corp. Дата публикации: 2003-01-02.

Cleaning method and solution for cleaning a wafer in a single wafer process

Номер патента: US20060260647A1. Автор: Steven Verhaverbeke,Kelly Truman. Владелец: Kelly Truman. Дата публикации: 2006-11-23.

Cleaning method and solution for cleaning a wafer in a single wafer process

Номер патента: US7469883B2. Автор: Steven Verhaverbeke,Kelly Truman. Владелец: Applied Materials Inc. Дата публикации: 2008-12-30.

Cleaning method and solution for cleaning a wafer in a single wafer process

Номер патента: US20060270242A1. Автор: Steven Verhaverbeke,Kelly Truman. Владелец: Individual. Дата публикации: 2006-11-30.

THREE COLOR LIGHT SOURCES INTEGRATED ON A SINGLE WAFER

Номер патента: US20220085238A1. Автор: SANCHEZ ERROL ANTONIO C.,CHUDZIK Michael. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-17.

LIGHT EMITTING DIODE CAPABLE OF GENERATING DIFFERENT LIGHT COLORS OVER SINGLE WAFER

Номер патента: US20180277716A1. Автор: Tseng Kuo-Shu,Huang Kuo-Hsin,WU CHUN-DER,TSAI TZENG-GUANG. Владелец: . Дата публикации: 2018-09-27.

Semiconductor single wafer type cleaning device and method

Номер патента: CN114653706A. Автор: 王佳,卢一泓,张月,李琳,胡艳鹏,刘钟埈. Владелец: Zhenxin Beijing Semiconductor Co Ltd. Дата публикации: 2022-06-24.

Three color light sources integrated on a single wafer

Номер патента: EP4214762A1. Автор: Errol Antonio C. Sanchez,Michael Chudzik. Владелец: Applied Materials Inc. Дата публикации: 2023-07-26.

Three color light sources integrated on a single wafer

Номер патента: WO2022060611A1. Автор: Errol Antonio C. Sanchez,Michael Chudzik. Владелец: Applied Materials, Inc.. Дата публикации: 2022-03-24.

Crystal silicon wafer etching method

Номер патента: CN106571411A. Автор: 党继东,沈波涛. Владелец: CSI GCL Solar Manufacturing Yancheng Co Ltd. Дата публикации: 2017-04-19.

Solar cell and wafer etching appratus thereof

Номер патента: KR101708240B1. Автор: 이성은,진용덕,정주화,신명준. Владелец: 엘지전자 주식회사. Дата публикации: 2017-02-20.

Method and apparatus for endpoint detection in a semiconductor wafer etching system

Номер патента: EP0352004A2. Автор: Manoocher Birang,Peter Ebbing. Владелец: Applied Materials Inc. Дата публикации: 1990-01-24.

Method and device for end point detection in a semiconductor wafer etching system.

Номер патента: DE68918363D1. Автор: Manoocher Birang,Peter Ebbing. Владелец: Applied Materials Inc. Дата публикации: 1994-10-27.

Method and apparatus for endpoint detection in a semiconductor wafer etching system

Номер патента: EP0352004B1. Автор: Manoocher Birang,Peter Ebbing. Владелец: Applied Materials Inc. Дата публикации: 1994-09-21.

Etching apparatus and etching method and detecting apparatus of film thickness

Номер патента: US20210225674A1. Автор: Hiroyuki Minemura,Soichiro Eto,Tatehito Usui. Владелец: Hitachi High Tech Corp. Дата публикации: 2021-07-22.

Etching amount measurement apparatus for dry etching apparatus

Номер патента: US09612205B2. Автор: Munenori Iwami,Ganachev IvanPetrov. Владелец: Shibaura Mechatronics Corp. Дата публикации: 2017-04-04.

Power supply system, plasma etching apparatus, and plasma etching method

Номер патента: US09922802B2. Автор: Taichi Hirano,Fumitoshi KUMAGAI. Владелец: Tokyo Electron Ltd. Дата публикации: 2018-03-20.

Etching depth detection method, etching monitor apparatus and etching apparatus

Номер патента: JP4444428B2. Автор: 陽平 山澤,義仁 大川. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-03-31.

Plasma etching method, plasma etching apparatus, plasma processing method, and plasma processing apparatus

Номер патента: TW201535518A. Автор: Naoki Moriguchi. Владелец: Ulvac Inc. Дата публикации: 2015-09-16.

ETCHING APPARATUS AND METHODS

Номер патента: US20130137195A1. Автор: Ansell Oliver James. Владелец: SPTS TECHNOLOGIES LIMITED. Дата публикации: 2013-05-30.

DRY ETCHING APPARATUS

Номер патента: US20130233491A1. Автор: CHOI Jong Yong. Владелец: JUSUNG ENGINEERING CO., LTD.. Дата публикации: 2013-09-12.

PLASMA ETCHING APPARATUS AND CONTROL METHOD

Номер патента: US20140073066A1. Автор: TABUCHI Atsuhiko. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-03-13.

Etching apparatus and etching method

Номер патента: US20160087195A1. Автор: Yasuyuki Sonoda. Владелец: Individual. Дата публикации: 2016-03-24.

ETCHING APPARATUS AND METHODS

Номер патента: US20140174658A1. Автор: Ansell Oliver James. Владелец: SPTS TECHNOLOGIES LIMITED. Дата публикации: 2014-06-26.

Etching method, etching apparatus, and ring member

Номер патента: US20150206763A1. Автор: Tsuyoshi Moriya,Yoshiaki Okabe,Songyun Kang,Ayuta Suzuki,Nobutoshi Terasawa. Владелец: Tokyo Electron Ltd. Дата публикации: 2015-07-23.

LASER ETCHING APPARATUS AND A METHOD OF LASER ETCHING USING THE SAME

Номер патента: US20170304951A1. Автор: Lee Dong-Hoon. Владелец: . Дата публикации: 2017-10-26.

Portable electrolysis etching apparatus

Номер патента: KR200496814Y1. Автор: 장영진,김천국. Владелец: 두산에너빌리티 (주). Дата публикации: 2023-05-02.

Etching apparatus and etching method

Номер патента: US10003017B2. Автор: Yasuyuki Sonoda. Владелец: Toshiba Memory Corp. Дата публикации: 2018-06-19.

Dry etching apparatus, etching method, and method of forming a wiring

Номер патента: US20060048894A1. Автор: Shunpei Yamazaki,Hideomi Suzawa. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2006-03-09.

Wafer storage elevators for single-wafer plasma plasma (CVD) devices

Номер патента: KR950004818U. Автор: 이정원. Владелец: 금성일렉트론 주식회사. Дата публикации: 1995-02-18.

Single wafer type LPCVD apparatus having a direct heating type belljar heater

Номер патента: KR100741645B1. Автор: 심경식. Владелец: 주성엔지니어링(주). Дата публикации: 2007-07-23.

Single wafer type low pressure chemical vapor deposition apparatus

Номер патента: KR0144799B1. Автор: 황철주. Владелец: 황철주. Дата публикации: 1998-08-17.

System and method of beam energy identification for single wafer ion implantation

Номер патента: US20100038553A1. Автор: Shu Satoh. Владелец: Axcelis Technologies Inc. Дата публикации: 2010-02-18.

Single wafer LPCVD apparatus

Номер патента: US6660095B2. Автор: Kyung Sik Shim. Владелец: Jusung Engineering Co Ltd. Дата публикации: 2003-12-09.

Plasma chamber for wafer etching and wafer etching method using plasma chamber

Номер патента: WO2023146076A1. Автор: 김남헌. Владелец: 김남헌. Дата публикации: 2023-08-03.

Method and apparatus for determining processing chamber cleaning or wafer etching endpoint

Номер патента: IL140055A0. Автор: . Владелец: On Line Techn Inc. Дата публикации: 2002-02-10.

Ion beam etching method and ion beam etching apparatus

Номер патента: US09966092B2. Автор: Kiyotaka Sakamoto,Yasushi Kamiya,Hiroshi Akasaka. Владелец: Canon Anelva Corp. Дата публикации: 2018-05-08.

Etching method and etching apparatus

Номер патента: US20240203694A1. Автор: Masahiro Yamamoto,Masaki Hosono,Kyohei Noguchi,Takuji Sako,Julen AROZAMENA. Владелец: Tokyo Electron Ltd. Дата публикации: 2024-06-20.

An inductively-coupled plasma etch apparatus and a feedback control method thereof

Номер патента: TW200636853A. Автор: Cheng-Hung Chang,Chaung Lin,Keh-Chyang Leou,Kai-Mu Shiao. Владелец: Univ Nat Tsing Hua. Дата публикации: 2006-10-16.

Perforated anode for use in reactive ion etching apparatus

Номер патента: DE3274507D1. Автор: Linda Mero Ephrath. Владелец: International Business Machines Corp. Дата публикации: 1987-01-15.

Electrode Etching Apparatus

Номер патента: US20240269777A1. Автор: Dong Hyeuk PARK,Sungjun JO. Владелец: LG Energy Solution Ltd. Дата публикации: 2024-08-15.

Focused ion beam etching apparatus

Номер патента: US5518595A. Автор: Yasuhiro Yamakage. Владелец: Shimadzu Corp. Дата публикации: 1996-05-21.

Electrodes for plasma etching apparatus and plasma etching apparatus using the same

Номер патента: US5447595A. Автор: Satoshi Nakagawa. Владелец: Matsushita Electronics Corp. Дата публикации: 1995-09-05.

Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source

Номер патента: CA2213771A1. Автор: Ebrahim Ghanbari. Владелец: Individual. Дата публикации: 1996-10-03.

Microwave plasma etching apparatus

Номер патента: US5983829A. Автор: Nobumasa Suzuki. Владелец: Canon Inc. Дата публикации: 1999-11-16.

Electrode plate for plasma etching and plasma etching apparatus

Номер патента: US20120073753A1. Автор: Nobuyuki Nagayama,Naoyuki Satoh,Keiichi Nagakubo. Владелец: Tokyo Electron Ltd. Дата публикации: 2012-03-29.

PLASMA ETCHING APPARATUS

Номер патента: US20140069585A1. Автор: Hayashi Daisuke,AOTO Tadashi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-03-13.

POWER SUPPLY SYSTEM, PLASMA ETCHING APPARATUS, AND PLASMA ETCHING METHOD

Номер патента: US20150000842A1. Автор: Hirano Taichi,Kumagai Fumitoshi. Владелец: . Дата публикации: 2015-01-01.

PLASMA ETCHING APPARATUS AND METHOD

Номер патента: US20150000843A1. Автор: KOBAYASHI Noriyuki,Tahara Shigeru,Koshiishi Akira,YONEDA Shigeru,Hanawa Kenichi,Sugimoto Masaru. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-01-01.

Cooling structure and parallel plate etching apparatus

Номер патента: US20200035464A1. Автор: Ryo Sasaki,Keita KAMBARA. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-01-30.

ELECTRODE ASSEMBLY AND ETCHING APPARATUS

Номер патента: US20200083025A1. Автор: HE HUAILIANG. Владелец: . Дата публикации: 2020-03-12.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20160093469A1. Автор: Sonoda Yasuyuki. Владелец: . Дата публикации: 2016-03-31.

MANUFACTURING METHOD OF PLASMA FOCUS RING FOR SEMICONDUCTOR ETCHING APPARATUS

Номер патента: US20220139661A1. Автор: KIM Ki Sang,LEE Weon Gyu,JUN Jae Hong. Владелец: . Дата публикации: 2022-05-05.

Plasma etching apparatus

Номер патента: US20150102011A1. Автор: Maxime Varvara. Владелец: SPTS Technologies Ltd. Дата публикации: 2015-04-16.

ION BEAM ETCHING METHOD AND ION BEAM ETCHING APPARATUS

Номер патента: US20170098458A1. Автор: KAMIYA Yasushi,Sakamoto Kiyotaka,Akasaka Hiroshi. Владелец: . Дата публикации: 2017-04-06.

LOCAL DRY ETCHING APPARATUS

Номер патента: US20160104601A1. Автор: OBARA Yasushi. Владелец: SPEEDFAM Co., Ltd.. Дата публикации: 2016-04-14.

SILICON PART FOR PLASMA ETCHING APPARATUS AND METHOD OF PRODUCING THE SAME

Номер патента: US20140187409A1. Автор: Nakada Yoshinobu,Kikuchi Fumitake. Владелец: MITSUBISHI MATERIALS CORPORATION. Дата публикации: 2014-07-03.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20200126770A1. Автор: HIMORI Shinji,NAGASEKI Kazuya,Maruyama Koji,OHSHITA Tatsuro,NAGAMI Koichi,Dokan Takashi,Fujiwara Kazunobu. Владелец: . Дата публикации: 2020-04-23.

Plasma etching apparatus

Номер патента: US20160148787A1. Автор: Anthony Paul Wilby,Stephen R. Burgess. Владелец: SPTS Technologies Ltd. Дата публикации: 2016-05-26.

Etching apparatus and method

Номер патента: US20150162169A1. Автор: Shih-Hung Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-06-11.

ION BEAM ETCHING METHOD OF MAGNETIC FILM AND ION BEAM ETCHING APPARATUS

Номер патента: US20140251790A1. Автор: KODAIRA Yoshimitsu,Toyosato Tomohiko. Владелец: . Дата публикации: 2014-09-11.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20140251956A1. Автор: Kim Tae-Gon,Lee Jeong-Yun,Kim Kyung-Sun,JEON Kyung-yub. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-09-11.

Plasma etching apparatus

Номер патента: US20150200078A1. Автор: Yasuhiro Morikawa. Владелец: Ulvac Inc. Дата публикации: 2015-07-16.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20140284308A1. Автор: Matsuyama Shoichiro,Yahashi Katsunori,Ohiwa Tokuhisa,Shimizu Akitaka,NOGAMI Susumu,ITO Kiyohito. Владелец: . Дата публикации: 2014-09-25.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20150206715A1. Автор: Kobayashi Ken,YOSHIDA Ryoichi,Ishii Takayuki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-07-23.

COOLING STRUCTURE AND PARALLEL PLATE ETCHING APPARATUS

Номер патента: US20160203955A1. Автор: SASAKI Ryo,KAMBARA Keita. Владелец: . Дата публикации: 2016-07-14.

PLASMA ETCHING APPARATUS

Номер патента: US20180218888A9. Автор: Varvara Maxime. Владелец: SPTS TECHNOLOGIES LIMITED. Дата публикации: 2018-08-02.

ETCHING APPARATUS

Номер патента: US20140338836A1. Автор: SUZUKI Hidekazu,Shibagaki Masami,Sekiguchi Atsushi. Владелец: CANON ANELVA CORPORATION. Дата публикации: 2014-11-20.

GRID ASSEMBLY AND ION BEAM ETCHING APPARATUS

Номер патента: US20150287567A1. Автор: Yasumatsu Yasushi,Tsujiyama Masashi,MOTOCHI KAORI. Владелец: . Дата публикации: 2015-10-08.

PLASMA ETCHING APPARATUS

Номер патента: US20190272980A1. Автор: BURGESS STEPHEN R.,WILBY ANTHONY PAUL. Владелец: . Дата публикации: 2019-09-05.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20150294841A1. Автор: Katsunuma Takayuki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2015-10-15.

METHOD FOR MANUFACTURING TOUCH SCREEN PANELS USING A DRY ETCHING APPARATUS

Номер патента: US20150340205A1. Автор: SONG Bong-Sub,KU Soung-Chang. Владелец: . Дата публикации: 2015-11-26.

PLASMA ETCHING APPARATUS

Номер патента: US20160343544A1. Автор: Takahashi Hiroyuki,SEKI Takeshi,WATANABE Yoshio,Milan Siry. Владелец: . Дата публикации: 2016-11-24.

ELECTRODE PLATE FOR PLASMA ETCHING AND PLASMA ETCHING APPARATUS

Номер патента: US20150348762A1. Автор: NAGAYAMA Nobuyuki,SATOH Naoyuki,NAGAKUBO Keiichi. Владелец: . Дата публикации: 2015-12-03.

ION BEAM ETCHING APPARATUS AND ION BEAM GENERATOR

Номер патента: US20160351377A1. Автор: KODAIRA Yoshimitsu,Yasumatsu Yasushi,Okamoto Naoyuki. Владелец: . Дата публикации: 2016-12-01.

Etching Apparatus Using Inductively Coupled Plasma

Номер патента: US20150359079A1. Автор: Jong-Woo Sun. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-12-10.

Method for manufacturing a touch screen panel using the dry etching apparatus

Номер патента: KR101082134B1. Автор: 구성창,송봉섭. Владелец: 삼성모바일디스플레이주식회사. Дата публикации: 2011-11-09.

Plasma etching apparatus and etching method

Номер патента: JPS5713743A. Автор: Haruo Okano,Takashi Yamazaki,Yasuhiro Horiike. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1982-01-23.

Plasma etching apparatus and method for manufacturing semiconductor device

Номер патента: JP2941572B2. Автор: 伸夫 藤原,隆弘 丸山,健治 川井,高広 星子. Владелец: Mitsubishi Electric Corp. Дата публикации: 1999-08-25.

Reactive ion etching apparatus

Номер патента: JPS5878428A. Автор: Tatsuzo Kawaguchi,Yasuharu Suzuki,Masahiro Shibagaki,川口 達三,柴垣 正弘,鈴木 靖治. Владелец: Tokyo Shibaura Electric Co Ltd. Дата публикации: 1983-05-12.

Magnetic Enhanced Reactive Ion Etching Apparatus

Номер патента: KR100564554B1. Автор: 김병동,김기상,오승영. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-29.

Dry etching apparatus

Номер патента: JPS5732637A. Автор: Shigeji Kinoshita,Yaichiro Watakabe. Владелец: Mitsubishi Electric Corp. Дата публикации: 1982-02-22.

Laser etching apparatus and laser etching method using the same

Номер патента: US11786990B2. Автор: Gyoowan Han,Yoongyeong Bae,Jooseob Ahn,Taekil OH,Yeonghwan KO. Владелец: Samsung Display Co Ltd. Дата публикации: 2023-10-17.

Laser etching apparatus and laser etching method using the same

Номер патента: US20200230740A1. Автор: Gyoowan Han,Yoongyeong Bae,Jooseob Ahn,Taekil OH,Yeonghwan KO. Владелец: Samsung Display Co Ltd. Дата публикации: 2020-07-23.

Discharge tube for a local etching apparatus and a local etching apparatus using the discharge tube

Номер патента: US6429399B2. Автор: Michihiko Yanagisawa,Tadayoshi Okuya. Владелец: SpeedFam Co Ltd. Дата публикации: 2002-08-06.

Silicon component for plasma etching apparatus

Номер патента: US9290391B2. Автор: Kosuke Imafuku. Владелец: Tokyo Electron Ltd. Дата публикации: 2016-03-22.

Electrochemical etching apparatus

Номер патента: US20150191842A1. Автор: Shu-Jen Han,Xuesong Li,Lian GUO. Владелец: International Business Machines Corp. Дата публикации: 2015-07-09.

Electrochemical etching apparatus

Номер патента: US09994968B2. Автор: Shu-Jen Han,Xuesong Li,Lian GUO. Владелец: International Business Machines Corp. Дата публикации: 2018-06-12.

Electrochemical etching apparatus

Номер патента: US09738987B2. Автор: Shu-Jen Han,Xuesong Li,Lian GUO. Владелец: International Business Machines Corp. Дата публикации: 2017-08-22.

Laser etching apparatus for forming photographic images on metallic surfaces

Номер патента: US3920951A. Автор: Joseph L Chovan,Albert J Manoni. Владелец: General Electric Co. Дата публикации: 1975-11-18.

Improvements in or relating to single-stage printing plate etching apparatus

Номер патента: GB985786A. Автор: Rudolf Keil,Hermann Stiehler. Владелец: INST fur GRAFISCHE TECHNIK. Дата публикации: 1965-03-10.

Electrochemical etching apparatus

Номер патента: US20140076738A1. Автор: Shu-Jen Han,Xuesong Li,Lian GUO. Владелец: International Business Machines Corp. Дата публикации: 2014-03-20.

Etching apparatus

Номер патента: US20210379702A1. Автор: Seong Ho Bae. Владелец: NPS Co Ltd. Дата публикации: 2021-12-09.

Low dimensional thermoelectrics fabricated by semiconductor wafer etching

Номер патента: WO2007133894A3. Автор: Fazila Seker,Fred Sharifi. Владелец: Fred Sharifi. Дата публикации: 2008-09-25.

Non-contact type and dipping type developing apparatus, etching apparatus, developing-etching apparatus

Номер патента: KR102540482B1. Автор: 김윤곤. Владелец: 주식회사 지씨이. Дата публикации: 2023-06-07.

Etching apparatus with suction mechanism

Номер патента: US20120103521A1. Автор: Chien-Pang Cheng. Владелец: Zhen Ding Technology Co Ltd. Дата публикации: 2012-05-03.

FOCUS RING HEATING METHOD, PLASMA ETCHING APPARATUS, AND PLASMA ETCHING METHOD

Номер патента: US20130299455A1. Автор: KOSHIMIZU Chishio,YAMAWAKU Jun,MATSUDO Tatsuo,Saito Masashi. Владелец: . Дата публикации: 2013-11-14.

CARRYING DEVICE, WET ETCHING APPARATUS AND USAGE METHOD THEREOF

Номер патента: US20170202091A1. Автор: LIN ZHIYUAN,Huang Yinhu. Владелец: . Дата публикации: 2017-07-13.

Method of forming wiring pattern and etching apparatus for forming wiring pattern

Номер патента: US20160289840A1. Автор: Hiroshi Yanagimoto,Hiroki Usui,Yuki Sato,Motoki Hiraoka. Владелец: Toyota Motor Corp. Дата публикации: 2016-10-06.

Etching apparatus for printed circuit board

Номер патента: KR101322771B1. Автор: 김수헌,장경문,부진충. Владелец: 주식회사 에스이에이. Дата публикации: 2013-11-04.

Wet etching apparatus and method thereof

Номер патента: CN102315092A. Автор: 郑文达. Владелец: Shenzhen China Star Optoelectronics Technology Co Ltd. Дата публикации: 2012-01-11.

Adsorption single wafer method and adsorption single wafer apparatus

Номер патента: JP4000303B2. Автор: 吉紀 河村,直伸 芝吹. Владелец: Fujifilm Corp. Дата публикации: 2007-10-31.

Process to fabricate an integrated micro-fluidic system on a single wafer

Номер патента: MY136313A. Автор: Chen Yu,ZOU Quanbo,YAN Tie,Janak Singh,Lim Tit Meng,Heng Chew Kiat. Владелец: Univ Singapore. Дата публикации: 2008-09-30.

Rectilinearly deflectable element fabricated from a single wafer

Номер патента: CA1332883C. Автор: Edward N. Sickafus. Владелец: Ford Motor Company of Canada Ltd. Дата публикации: 1994-11-08.

Single wafer laminating method and apparatus using the same

Номер патента: JP4255433B2. Автор: 利郎 西久保,和生 北田,宏 相沢,和広 東尾. Владелец: Nitto Denko Corp. Дата публикации: 2009-04-15.

Method and apparatus for chemical mixing in a single wafer process

Номер патента: US20060264050A1. Автор: Steven Verhaverbeke,Rick Endo,J. Truman,Alexander Ko. Владелец: Endo Rick R. Дата публикации: 2006-11-23.

Single wafer material processing equipment

Номер патента: JP4031850B2. Автор: エル. クロプフェンスタイン アンドリュー. Владелец: Goss International Asia Pacific Inc. Дата публикации: 2008-01-09.

Deposition of nano-crystal silicon using a single wafer chamber

Номер патента: WO2006019861A1. Автор: Ming Li,Shulin Wang,Sheeba J. Panayil,Jonathan C. Pickering. Владелец: Applied Materials, Inc.. Дата публикации: 2006-02-23.

Single wafer type cleaning apparatus and cleaning method

Номер патента: JP2859624B2. Автор: 弘 山口,剛伸 松尾,成仁 井深,正澄 半井,哲雄 小柳,安雄 高橋,一栄 志村. Владелец: SUGAI KK. Дата публикации: 1999-02-17.

Method and device for treating untreated water from silicon wafer etching process

Номер патента: TW201125825A. Автор: Satoru Nagai,Kazuki Hayashi,Naoto Hitotsuyanagi. Владелец: Kurita Water Ind Ltd. Дата публикации: 2011-08-01.

High-efficiency stable germanium single crystal wafer etching process

Номер патента: CN107354513B. Автор: 刘洋,张伟才,吕菲,常耀辉,王云彪,窦连水. Владелец: CETC 46 Research Institute. Дата публикации: 2020-05-12.

Sapphire wafer etch polishing combined machining method

Номер патента: CN106217235A. Автор: 徐西鹏,陈瑜,方从富,陈铭欣,胡中伟,谢斌晖. Владелец: Fujian Jingan Optoelectronics Co Ltd. Дата публикации: 2016-12-14.

Method and device for treating untreated water from silicon wafer etching process

Номер патента: TWI560150B. Автор: Satoru Nagai,Kazuki Hayashi,Naoto Hitotsuyanagi. Владелец: Kurita Water Ind Ltd. Дата публикации: 2016-12-01.

Etching apparatus.

Номер патента: US979071A. Автор: Axel Holmstroem. Владелец: Individual. Дата публикации: 1910-12-20.

Etching apparatus for cinematographic films

Номер патента: US1613303A. Автор: Jarvis M Andrews. Владелец: Technicolor Motion Picture Corp. Дата публикации: 1927-01-04.

Etching apparatus.

Номер патента: US1152435A. Автор: Robert R Page. Владелец: Individual. Дата публикации: 1915-09-07.

Etching apparatus

Номер патента: US1340975A. Автор: Robert R Page. Владелец: Individual. Дата публикации: 1920-05-25.

Glass cassette used in etching apparatus for glass of TFT LCD and etching apparatus including the same

Номер патента: TW200739664A. Автор: Seung-Min Noh. Владелец: Systems Technology Inc. Дата публикации: 2007-10-16.

Glass cassette used in etching apparatus for glass of tft lcd and etching apparatus including the same

Номер патента: TWI310220B. Автор: Seung-Min Noh. Владелец: Systems Technology Inc. Дата публикации: 2009-05-21.

Method and apparatus for supplying tetrafluoroethylene gas to dry etching apparatus

Номер патента: TW569337B. Автор: Hirokazu Aoyama,Akinori Yamamoto,Tetsuhiro Kotani. Владелец: Daikin Ind Ltd. Дата публикации: 2004-01-01.

ETCHING METHOD, ETCHING APPARATUS, AND STORAGE MEDIUM

Номер патента: US20130075248A1. Автор: Hayakawa Takashi,Hara Kenichi,YAMADA Isao,TOYODA Noriaki. Владелец: . Дата публикации: 2013-03-28.

ETCHING METHOD, ETCHING APPARATUS, AND RING MEMBER

Номер патента: US20130186858A1. Автор: MORIYA Tsuyoshi,Suzuki Ayuta,Kang Songyun,Terasawa Nobutoshi,Okabe Yoshiaki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-07-25.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20130200042A1. Автор: Yokogawa Kenetsu,Nishio Ryoji,MAEDA Kenji,Satake Makoto,Usui Tatehito,TETSUKA Tsutomu. Владелец: . Дата публикации: 2013-08-08.

PROPORTIONAL AND UNIFORM CONTROLLED GAS FLOW DELIVERY FOR DRY PLASMA ETCH APPARATUS

Номер патента: US20130284700A1. Автор: NANGOY Roy C.,NGUYEN Andrew Y.. Владелец: . Дата публикации: 2013-10-31.

RADICAL ETCHING APPARATUS AND METHOD

Номер патента: US20130306599A1. Автор: Inoue Hiroaki,Higuchi Yasushi,Ishikawa Michio. Владелец: ULVAC, INC.. Дата публикации: 2013-11-21.

ELECTROCHEMICAL ETCHING APPARATUS

Номер патента: US20140076721A1. Автор: Han Shu-Jen,Li Xuesong,Guo Lian. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-20.

ELECTROCHEMICAL ETCHING APPARATUS

Номер патента: US20140076738A1. Автор: Han Shu-Jen,Li Xuesong,Guo Lian. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-03-20.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS

Номер патента: US20140076848A1. Автор: NAKAHARA Yoichi. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2014-03-20.

ETCHING APPARATUS FOR SUBSTRATE AND METHOD OF ETCHING USING THE SAME

Номер патента: US20140190936A1. Автор: YOO Hae-Young,PARK Pyoung-Kyu,PARK Woo-Youl,SHIN Min-Kyu,SHIN Jung-Kun. Владелец: . Дата публикации: 2014-07-10.

CYLINDER ETCHING APPARATUS

Номер патента: US20170120573A1. Автор: Shigeta Tatsuo. Владелец: . Дата публикации: 2017-05-04.

ELECTROCHEMICAL ETCHING APPARATUS

Номер патента: US20170175288A1. Автор: Han Shu-Jen,Li Xuesong,Guo Lian. Владелец: . Дата публикации: 2017-06-22.

ELECTROCHEMICAL ETCHING APPARATUS

Номер патента: US20150191842A1. Автор: Han Shu-Jen,Li Xuesong,Guo Lian. Владелец: . Дата публикации: 2015-07-09.

ETCHING APPARATUS

Номер патента: US20140283993A1. Автор: Ham Yun-Sik,Jeon Jae-Kwang. Владелец: . Дата публикации: 2014-09-25.

SILICON COMPONENT FOR PLASMA ETCHING APPARATUS

Номер патента: US20140294712A1. Автор: Imafuku Kosuke. Владелец: . Дата публикации: 2014-10-02.

ETCHING METHOD OF GLASS SUBSTRATE AND WET ETCHING APPARATUS THEREOF

Номер патента: US20160236973A1. Автор: Li Jia. Владелец: SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD.. Дата публикации: 2016-08-18.

LASER ETCHING APPARATUS AND LASER ETCHING METHOD USING THE SAME

Номер патента: US20200230740A1. Автор: Han Gyoowan,BAE Yoongyeong,AHN JOOSEOB,OH Taekil,Ko Yeonghwan. Владелец: . Дата публикации: 2020-07-23.

ELECTROCHEMICAL ETCHING APPARATUS

Номер патента: US20150267317A1. Автор: Han Shu-Jen,Li Xuesong,Guo Lian. Владелец: . Дата публикации: 2015-09-24.

Photo-etching apparatus

Номер патента: KR0150578B1. Автор: 이인석. Владелец: 엘지마이크론주식회사. Дата публикации: 1998-11-16.

Manufacturing method of fine processed body and etching apparatus

Номер патента: JP4596072B2. Автор: 惣銘 遠藤,和弥 林部,浩一郎 清水. Владелец: Sony Corp. Дата публикации: 2010-12-08.

Dry etching apparatus and etching method using light and microwave

Номер патента: KR950019926A. Автор: 김동석,김경진,이병석. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-07-24.

Laser etching apparatus and method

Номер патента: KR101514208B1. Автор: 박민호,김준형,유현석,최교원. Владелец: 주식회사 에스에프에이. Дата публикации: 2015-04-22.

Plasma etching apparatus

Номер патента: KR200197657Y1. Автор: 이정기. Владелец: 주식회사기림세미텍. Дата публикации: 2000-09-15.

Dry etching apparatus

Номер патента: TWI405261B. Автор: Jong Yong Choi. Владелец: Jusung Eng Co Ltd. Дата публикации: 2013-08-11.

Wafer single wafer etching system

Номер патента: JP4816229B2. Автор: 健夫 加藤,和成 高石,友裕 橋井,栄 古屋田,克彦 村山. Владелец: Sumco Corp. Дата публикации: 2011-11-16.

Single wafer chemical etching apparatus

Номер патента: TWM332262U. Автор: shu-hui Hong. Владелец: Able Print Technology Co Ltd. Дата публикации: 2008-05-11.

Liquid collection apparatus for single wafer spin etcher

Номер патента: TW200421475A. Автор: Chia-Kang Wang,Liang-Yu Chang. Владелец: Grand Plastic Technology Corp. Дата публикации: 2004-10-16.

Single-wafer cleaning procedure

Номер патента: TW200623243A. Автор: Kun-Yuan Liao. Владелец: United Microelectronics Corp. Дата публикации: 2006-07-01.

Wafer single wafer polishing method and apparatus

Номер патента: JP3821944B2. Автор: 太一 安田. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 2006-09-13.

Wafer single-wafering equipment

Номер патента: JP2580212B2. Автор: 安弘 力久,一二 小ケ倉. Владелец: SUMITOMO SHICHITSUKUSU KK. Дата публикации: 1997-02-12.

Wafer holding mechanism for single wafer processing equipment

Номер патента: JPH0737318Y2. Автор: 秀明 竹内. Владелец: Nippon Telegraph and Telephone Corp. Дата публикации: 1995-08-23.

Wafer detecting means and method used for single-wafer machine

Номер патента: TW571379B. Автор: Kuo-Lang Chen,Hsueh-Yao Ching. Владелец: Winbond Electronics Corp. Дата публикации: 2004-01-11.

Wafer holding method and single wafer heat treatment apparatus used in this method

Номер патента: JP4157812B2. Автор: 周秀 藤山,昌倫 奥村. Владелец: Koyo Thermo Systems Co Ltd. Дата публикации: 2008-10-01.

Wafer etching apparatus having roller with grooved structure

Номер патента: TW200935509A. Автор: Chia-Ching Luo. Владелец: Big Sun Energy Technology Inc. Дата публикации: 2009-08-16.

Wafer etching apparatus having roller with grooved structure

Номер патента: TWI369730B. Автор: Chia Ching Luo. Владелец: Big Sun Energy Technology Inc. Дата публикации: 2012-08-01.

Semiconductor wafer etching method and etching apparatus

Номер патента: JP3794886B2. Автор: 浩 田中,敦資 坂井田,敏尚 谷口,吉次 阿部. Владелец: Denso Corp. Дата публикации: 2006-07-12.

HNO3 SINGLE WAFER CLEAN PROCESS TO STRIP NICKEL AND FOR MOL POST ETCH

Номер патента: US20130234335A1. Автор: Fitz Clemens,Poth Jochen,Schupke Kristin. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-09-12.

Single wafer plasma ashing device

Номер патента: JP3293801B2. Автор: 泰則 岡部. Владелец: 九州日本電気株式会社. Дата публикации: 2002-06-17.

More size compatibility single-wafer electroplating clamps

Номер патента: CN105648509B. Автор: 俞挺,张学敏,丁海舰. Владелец: Suzhou Institute of Nano Tech and Nano Bionics of CAS. Дата публикации: 2019-02-01.

Single-wafer coating method and apparatus

Номер патента: JP3561998B2. Автор: 俊英 関戸,高男 佐野,浩充 金森. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2004-09-08.

Single-wafer thin film forming method and thin film forming apparatus

Номер патента: JPH0693452B2. Автор: 晋平 飯島,静憲 大湯,恭雄 和田,信義 夏秋. Владелец: HITACHI LTD. Дата публикации: 1994-11-16.

Color filter single-wafer coating apparatus and method

Номер патента: JP3139358B2. Автор: 哲哉 後藤,孝義 赤松,哲男 鈴木,英夫 井戸. Владелец: TORAY INDUSTRIES INC. Дата публикации: 2001-02-26.

Single wafer heat treatment equipment

Номер патента: JP3738494B2. Автор: 亘 大加瀬,一二 青木,雅昭 長谷井. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-01-25.

Single wafer device

Номер патента: JP3819072B2. Автор: 直明 山口. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2006-09-06.

Single-wafer specimen sampling device

Номер патента: JP3196252U. Автор: 隆 川口,川口 隆. Владелец: Toray Advanced Film Co Ltd. Дата публикации: 2015-02-26.

Single-wafer washing device for semiconductor substrate

Номер патента: JP2003249477A. Автор: Atsushi Tanabe,田辺  淳,Hisatsugu Kurita,久嗣 栗田. Владелец: Toshiba Ceramics Co Ltd. Дата публикации: 2003-09-05.

Single-wafer machine

Номер патента: JP2545147B2. Автор: 浩一 奥津. Владелец: Fuji Photo Film Co Ltd. Дата публикации: 1996-10-16.

Foreign material removal device for single-wafer workpiece

Номер патента: JP3975205B2. Автор: 啓 宇澤. Владелец: 有限会社タクショー. Дата публикации: 2007-09-12.

Single wafer spin cleaning method for semiconductor substrates

Номер патента: JP5405137B2. Автор: 義弘 野島,信 川合,剛史 諏訪. Владелец: Shin Etsu Chemical Co Ltd. Дата публикации: 2014-02-05.

Cleaning and drying device for ultra-small single wafer

Номер патента: CN216648233U. Автор: 王文和,卢刘振,蔡永礼,朱伟然,叶曲波. Владелец: Shanghai Si'en Equipment Technology Co ltd. Дата публикации: 2022-05-31.

A kind of single-wafer wet type processing device

Номер патента: CN208848857U. Автор: 其他发明人请求不公开姓名,谷康康. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2019-05-10.

Single wafer cutting device and rotary dancer roll device

Номер патента: JP4187519B2. Автор: 市郎太 宮木,敦子 細井. Владелец: 株式会社暁金属工業. Дата публикации: 2008-11-26.

Single wafer heat treatment device

Номер патента: JPH11204443A. Автор: Masayuki Kitamura,Wataru Okase,亘 大加瀬,一二 青木,昌幸 北村,Kazuji Aoki. Владелец: Tokyo Electron Ltd. Дата публикации: 1999-07-30.

Single wafer plating equipment

Номер патента: JP3317223B2. Автор: 敦資 坂井田,敏尚 谷口,充 星野. Владелец: Denso Corp. Дата публикации: 2002-08-26.

Single wafer heat treatment system

Номер патента: JP3785650B2. Автор: 英利 木村. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-06-14.

Single-wafer processing unit and its operating method, transfer approach and collimator

Номер патента: CN109706436A. Автор: 黄灿华,黄健宝,邱信翔. Владелец: Hermes Epitek Corp. Дата публикации: 2019-05-03.

Single-wafer ion implantation apparatus adjustment method

Номер патента: JP3519892B2. Автор: 正隆 加勢,智裕 久保,啓仁 熊谷. Владелец: Fujitsu Ltd. Дата публикации: 2004-04-19.

Full-automatic single wafer cleaning machine

Номер патента: CN217903084U. Автор: 江永. Владелец: Dongguan Kaidi Micro Intelligent Equipment Co ltd. Дата публикации: 2022-11-25.

Single wafer surface processing apparatus and diaphragm manufacturing method

Номер патента: JP3988312B2. Автор: 充 星野. Владелец: Denso Corp. Дата публикации: 2007-10-10.

Single wafer cleaning method for reducing surface particles

Номер патента: CN115799045A. Автор: 陈杰,陈猛,刘浦锋,柏友荣,向齐涛,聂环. Владелец: Chongqing Advanced Silicon Technology Co ltd. Дата публикации: 2023-03-14.

Single wafer wet peeling equipment

Номер патента: JP2867745B2. Автор: 茂樹 加藤. Владелец: Nippon Electric Co Ltd. Дата публикации: 1999-03-10.

Heat treatment device of single wafer processing

Номер патента: JPH1092753A. Автор: Wataru Okase,亘 大加瀬,一二 青木,雅昭 長谷井,Kazuji Aoki,Masaaki Hasei. Владелец: Tokyo Electron Ltd. Дата публикации: 1998-04-10.

Single wafer heat treatment system

Номер патента: JP4448662B2. Автор: 周秀 藤山,清彦 森川,在學 高,智行 石橋. Владелец: Koyo Thermo Systems Co Ltd. Дата публикации: 2010-04-14.

Single-wafer workpiece gripping device

Номер патента: JP4679307B2. Автор: 稔也 田川,清二 松田. Владелец: Hirata Corp. Дата публикации: 2011-04-27.

Single wafer type heat treatment equipment

Номер патента: JP3073728B2. Автор: 亘 大加瀬,雅昭 長谷井. Владелец: Tokyo Electron Ltd. Дата публикации: 2000-08-07.

Single wafer carrying device

Номер патента: CN213278047U. Автор: 赵晗,林生海,黄自柯. Владелец: Winmax Control Technology Shanghai Co ltd. Дата публикации: 2021-05-25.

Multi-single wafer processing apparatus

Номер патента: TW200622046A. Автор: Thomas E Seidel,Sasangan Ramanathan,Jerzy Puchacz,Manolito Q Reyes. Владелец: Genus Inc. Дата публикации: 2006-07-01.

Single wafer conveying system

Номер патента: TW280932B. Автор: Horng-Ren Wu,Jaw-Tarng Chen,Jiunn-Lin Lay,Yih-Yih Chen. Владелец: United Microelectronics Corp. Дата публикации: 1996-07-11.

Single-wafer cleaning procedure

Номер патента: TWI240963B. Автор: Kun-Yuan Liao. Владелец: United Microelectronics Corp. Дата публикации: 2005-10-01.

Wafer etching apparatus

Номер патента: JPH01164040A. Автор: Teruhisa Kojima,輝久 小島. Владелец: Brother Industries Ltd. Дата публикации: 1989-06-28.

Wet etching machine bench and method for eliminating silicon wafer etching difference

Номер патента: CN101886262B. Автор: 杨华,姚嫦娲. Владелец: Shanghai Hua Hong NEC Electronics Co Ltd. Дата публикации: 2012-04-18.

Silicon wafer etching method and etching solution using the same

Номер патента: JP3188902B2. Автор: 正 佐近,上村賢一,垂永伸二. Владелец: ワッカー・エヌエスシーイー株式会社. Дата публикации: 2001-07-16.

Wafer etching method

Номер патента: JPS6230326A. Автор: Yoshio Tate,舘 良男. Владелец: Kansai Nippon Electric Co Ltd. Дата публикации: 1987-02-09.

Silicon wafer etching method

Номер патента: JP3405026B2. Автор: ▲吉▼次 阿部,浩 田中,幸二 松本,正弘 富田,浩樹 野口. Владелец: Denso Corp. Дата публикации: 2003-05-12.

Silicon wafer etching method

Номер патента: JP3400694B2. Автор: 修治 鳥觜,和慶 小田. Владелец: 東芝セラミックス株式会社. Дата публикации: 2003-04-28.

Silicon semiconductor wafer etching method

Номер патента: JPH0680654B2. Автор: 泰章 中里,教夫 長谷川,貴裕 神田. Владелец: Shin Etsu Handotai Co Ltd. Дата публикации: 1994-10-12.

Semiconductor wafer etching equipment

Номер патента: JP4390650B2. Автор: 寿也 福永,正光 宮崎,和也 平山. Владелец: Sumco Techxiv Corp. Дата публикации: 2009-12-24.

Wafer etching equipment

Номер патента: JPH0736400B2. Автор: 弘 押部,孝泰 大村,源基 一色. Владелец: 東洋設備工業株式会社. Дата публикации: 1995-04-19.

Plasma supply device and wafer etching device

Номер патента: CN109817505B. Автор: 不公告发明人. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2021-09-24.

Cassette for glass plate or wafer etching and holding

Номер патента: TWI435833B. Автор: Yu Cheng Chen,Zong En Wu,Chih Lin Yu. Владелец: Grand Plastic Technology Co Ltd. Дата публикации: 2014-05-01.

Chemical liquid coagulation prevention device of semiconductor wafer etching equipment

Номер патента: KR200211293Y1. Автор: 하굉호. Владелец: 현대반도체 주식회사. Дата публикации: 2001-11-15.

Silicon wafer etching method and cleaning method

Номер патента: JP2599021B2. Автор: 進 大塚,正 佐近,純 渥美. Владелец: Nippon Steel Corp. Дата публикации: 1997-04-09.

Si wafer etching method

Номер патента: JP3508547B2. Автор: ▲吉▼次 阿部,浩 田中,敦資 坂井田,敏尚 谷口,毅 深田,基樹 伊藤. Владелец: Denso Corp. Дата публикации: 2004-03-22.

Wafer Etching Equipment

Номер патента: KR970059843U. Автор: . Владелец: . Дата публикации: 1997-11-10.

Silicon wafer etching method

Номер патента: JPH11111676A. Автор: Hiroshi Tanaka,浩 田中,▲よし▼次 阿部,Yoshitsugu Abe. Владелец: Denso Corp. Дата публикации: 1999-04-23.

Silicon wafer etching method

Номер патента: JP3531519B2. Автор: 浩 田中,基樹 伊藤,吉次 阿部. Владелец: Denso Corp. Дата публикации: 2004-05-31.

Cassette for glass plate or wafer etching and holding

Номер патента: TW201348099A. Автор: Yu-Cheng Chen,zong-en Wu,Chih-Lin Yu. Владелец: Grand Plastic Technology Co Ltd. Дата публикации: 2013-12-01.

Wafer etching device

Номер патента: JPS62219527A. Автор: Motoki Isshiki,一色 源基. Владелец: TOYO SETSUBI KOGYO KK. Дата публикации: 1987-09-26.

Semiconductor wafer etching system

Номер патента: CN109904098B. Автор: 甄敬格. Владелец: Jiangsu Aosilite Electronic Technology Co ltd. Дата публикации: 2020-12-29.

Gas diversion apparatus in a plasma etching apparatus

Номер патента: TW448504B. Автор: Ming-Te Lin,Feng-Pin Lin. Владелец: United Microelectronics Corp. Дата публикации: 2001-08-01.

Etching apparatus for semiconductor wafer

Номер патента: TW200610050A. Автор: Masamitsu Miyazaki,Toshiya Fukunaga,Kazuya Hirayama. Владелец: Komatsu Denshi Kinzoku Kk. Дата публикации: 2006-03-16.

Roller assembly with holes and etching apparatus using the same

Номер патента: TWI366230B. Автор: Chia Ching Luo. Владелец: Big Sun Energy Technology Inc. Дата публикации: 2012-06-11.

Wet-etching apparatus and wet-etching method

Номер патента: TW200623249A. Автор: Jung-Lung Huang,Sheng-Chou Gau,Chen-Hsien Ou,Li-Feng Chiu. Владелец: Innolux Display Corp. Дата публикации: 2006-07-01.

Wet etching apparatus and controlling method of etching rate of polycrystalline silicon

Номер патента: TWI284943B. Автор: Chien-Hsing Tu,Rui-Hui Wen. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2007-08-01.

Sorting and etching apparatus and method

Номер патента: CA669366A. Автор: Wang Chih-Chung. Владелец: Clevite Corp. Дата публикации: 1963-08-27.

Etching apparatus

Номер патента: AU3252357A. Автор: Hirata Janik. Владелец: Individual. Дата публикации: 1958-05-01.

Etching apparatus and etching method

Номер патента: TW201112900A. Автор: Yao-Wen Bai,Pan Tang,Xiao-Ping Li. Владелец: Foxconn Advanced Tech Inc. Дата публикации: 2011-04-01.

Etching method and etching apparatus

Номер патента: SG10201906930VA. Автор: Miyoshi Hidenori,ABE Takuya,Shimizu Akitaka,NAGAKURA Koichi. Владелец: Tokyo Electron Ltd. Дата публикации: 2020-02-27.

Exhausting means in a dry etching apparatus

Номер патента: TWI247823B. Автор: Rung-Fu Ju. Владелец: Nanya Technology Corp. Дата публикации: 2006-01-21.

Roller assembly with holes and etching apparatus using the same

Номер патента: TW201009926A. Автор: Chia-Ching Luo. Владелец: Big Sun Energy Technology Inc. Дата публикации: 2010-03-01.

Etching apparatus and method of controlling the same

Номер патента: TW201224692A. Автор: Chin-Chung Lin,Chin-Liang Chang,Bo-Wen Huang. Владелец: AU OPTRONICS CORP. Дата публикации: 2012-06-16.

Wet etching apparatus and controlling method of etching rate of polycrystalline silicon

Номер патента: TW200717667A. Автор: Chien-Hsing Tu,Rui-Hui Wen. Владелец: Powerchip Semiconductor Corp. Дата публикации: 2007-05-01.

Wafer bevel etching apparatus and the related method of flatting a wafer

Номер патента: TW201001512A. Автор: Chih-Yueh Li,Tai-Heng Yu. Владелец: United Microelectronics Corp. Дата публикации: 2010-01-01.

Electron beam etching apparatus and its method

Номер патента: TW200805513A. Автор: zheng-yuan Zheng,de-feng Zhan,kui-wen Zheng. Владелец: Teco Elec & Machinery Co Ltd. Дата публикации: 2008-01-16.

Array-type electron beam etching apparatus and its method

Номер патента: TW200805484A. Автор: zheng-yuan Zheng,de-feng Zhan,kui-wen Zheng. Владелец: Teco Elec & Machinery Co Ltd. Дата публикации: 2008-01-16.

A drawing tube of a vacuum cassette elevator used for an etching apparatus

Номер патента: TW389931B. Автор: Shiu-Chin Jeng. Владелец: United Microelectronics Corp. Дата публикации: 2000-05-11.

Etching solution regeneration processing apparatus and etching apparatus using the same

Номер патента: JP3928998B2. Автор: 章 米谷,範行 小林. Владелец: エム・エフエスアイ株式会社. Дата публикации: 2007-06-13.

Substrate tray, etching apparatus and etching method used in plasma etching apparatus

Номер патента: JP5264403B2. Автор: 正幸 佐藤,光康 浅野,泰宏 森川,敏幸 中村. Владелец: Ulvac Inc. Дата публикации: 2013-08-14.

Base for plasma etching apparatus and plasma etching apparatus provided with the same

Номер патента: JP4519576B2. Автор: 哲也 森,彰一 村上. Владелец: Sumitomo Precision Products Co Ltd. Дата публикации: 2010-08-04.

Etching apparatus and processing apparatus

Номер патента: JPH11162924A. Автор: Harumichi Hirose,Akinori Iso,明典 磯,治道 廣瀬,Choichi Kimura,長市 木村. Владелец: Shibaura Mechatronics Corp. Дата публикации: 1999-06-18.

Manufacture of vacuum chamber in cvd apparatus and dry etching apparatus

Номер патента: JPS62103379A. Автор: 豊 加藤,Eizo Isoyama,Yutaka Kato,礒山 永三. Владелец: Showa Aluminum Corp. Дата публикации: 1987-05-13.

Plasma processing method, etching method, plasma processing apparatus and etching apparatus

Номер патента: JP4680333B2. Автор: 貴一 浜. Владелец: 東京エレクトロンAt株式会社. Дата публикации: 2011-05-11.

Thickness measuring apparatus, wet etching apparatus using the same, and wet etching method

Номер патента: JP4347517B2. Автор: 輝雄 高橋,元之 渡邉. Владелец: Hamamatsu Photonics KK. Дата публикации: 2009-10-21.

Plasma Etching Apparatus

Номер патента: US20120006490A1. Автор: . Владелец: Sumitomo Precision Products Co., Ltd.. Дата публикации: 2012-01-12.

PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD

Номер патента: US20120012556A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-19.

METHOD OF SUPPLYING ETCHING GAS AND ETCHING APPARATUS

Номер патента: US20120037316A1. Автор: Kato Yoshiyuki,OGASAWARA Masahiro,Hayakawa Yoshinobu,MIZUNO Hideki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-02-16.

Plasma Etching Apparatus

Номер патента: US20120085494A1. Автор: . Владелец: . Дата публикации: 2012-04-12.

DRY ETCHING METHOD AND DRY ETCHING APPARATUS

Номер патента: US20120094500A1. Автор: SUZUKI Hiroyuki,OKUNE Mitsuhiro. Владелец: . Дата публикации: 2012-04-19.

ETCHING APPARATUS AND ETCHING METHOD

Номер патента: US20120100641A1. Автор: Tachibana Katsuhiko,Yoshinaga Kenta. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-04-26.

WET ETCHING APPARATUS AND METHOD

Номер патента: US20120111835A1. Автор: . Владелец: . Дата публикации: 2012-05-10.

PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD

Номер патента: US20120132617A1. Автор: . Владелец: SHIBAURA MECHATRONICS CORPORATION. Дата публикации: 2012-05-31.

ETCHING APPARATUS

Номер патента: US20120160416A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-06-28.

PLASMA ETCHING APPARATUS

Номер патента: US20120186746A1. Автор: . Владелец: ULVAC, INC.. Дата публикации: 2012-07-26.

ETCHING METHOD, ETCHING APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM

Номер патента: US20120238100A1. Автор: AKIBA Aki. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-09-20.

ETCHING METHOD, ETCHING APPARATUS AND STORAGE MEDIUM

Номер патента: US20120264308A1. Автор: WATANABE Tsukasa,Egashira Keisuke,Kaneko Miyako,Orii Takehiko. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-10-18.

PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS FOR PREPARING HIGH-ASPECT-RATIO STRUCTURES

Номер патента: US20120302031A1. Автор: . Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-11-29.

PULSE-PLASMA ETCHING METHOD AND PULSE-PLASMA ETCHING APPARATUS

Номер патента: US20120302065A1. Автор: . Владелец: NANYA TECHNOLOGY CORPORATION. Дата публикации: 2012-11-29.

ETCHING METHOD AND ETCHING APPARATUS OF SEMICONDUCTOR WAFER

Номер патента: US20120305187A1. Автор: . Владелец: SUMCO TECHXIV CORPORATION. Дата публикации: 2012-12-06.

ETCHING APPARATUS, CONTROL SIMULATOR,AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120310403A1. Автор: INOUE Satomi,SHIRAISHI Daisuke,KAGOSHIMA Akira,Morisawa Toshihiro. Владелец: . Дата публикации: 2012-12-06.

ETCHING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер патента: US20130017626A1. Автор: TOMIOKA Kazuhiro. Владелец: . Дата публикации: 2013-01-17.

WET ETCHING APPARATUS AND METHOD

Номер патента: US20130062015A1. Автор: Cheng Wen-Da. Владелец: Shenzhen China Star Optoelectronics Technology Co. Ltd.. Дата публикации: 2013-03-14.

PLASMA ETCHING APPARATUS

Номер патента: US20130087285A1. Автор: Miyake Masatoshi,"YOKOGAWA Kenetsu",Kofuji Naoyuki,Negishi Nobuyuki,Kamibayashi Masami. Владелец: . Дата публикации: 2013-04-11.

DRY ETCHING APPARATUS AND METHOD

Номер патента: US20130228550A1. Автор: Izawa Masaru,MORI Masahito,Yagi Katsushi. Владелец: HITACHI HIGH-TECHNOLOGIES CORPORATION. Дата публикации: 2013-09-05.

Etching Apparatus and Method

Номер патента: US20140051257A1. Автор: Pilch Karl. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-02-20.

METHOD FOR PLASMA ETCHING AND PLASMA ETCHING APPARATUS THEREOF

Номер патента: US20140073138A1. Автор: HUANG Ming-Yu,Hwang Min-Chi. Владелец: . Дата публикации: 2014-03-13.

Cleaning and etching apparatus

Номер патента: CN212412013U. Автор: 林振衡. Владелец: Hoe Ie Enterprise Co ltd. Дата публикации: 2021-01-26.

Plasma etching apparatus

Номер патента: JPS5916979A. Автор: Kiyoshi Takahashi,清 高橋. Владелец: Kokusai Electric Corp. Дата публикации: 1984-01-28.

Ion etching apparatus

Номер патента: JPS56152969A. Автор: Osamu Tsukagoshi,Kenro Miyamura. Владелец: Ulvac Inc. Дата публикации: 1981-11-26.

Dry etching apparatus

Номер патента: JPS6285431A. Автор: Toru Otsubo,徹 大坪,Kazuhiro Ohara,大原 和博. Владелец: HITACHI LTD. Дата публикации: 1987-04-18.

Etching solution supply method and etching apparatus

Номер патента: JP5091931B2. Автор: 祐司 栗本. Владелец: Sharp Corp. Дата публикации: 2012-12-05.

Dry etching apparatus

Номер патента: JPS63138737A. Автор: Hideyuki Hirose,Hidehiko Ishizu,石津 英彦,廣瀬 秀幸. Владелец: HITACHI LTD. Дата публикации: 1988-06-10.

Etching method and etching apparatus

Номер патента: JP4512529B2. Автор: 善幸 野沢,彰一 村上,明光 大石. Владелец: Sumitomo Precision Products Co Ltd. Дата публикации: 2010-07-28.

Etching apparatus and etching method

Номер патента: JP6081218B2. Автор: 耕三 阿部,順一 池野. Владелец: Nippon Steel and Sumikin Materials Co Ltd. Дата публикации: 2017-02-15.

Multi-chamber dry etching apparatus

Номер патента: JPS5964779A. Автор: Wataru Ogawa,渉 小川. Владелец: Nippon Victor KK. Дата публикации: 1984-04-12.

Reactive ion beam etching apparatus

Номер патента: JPS60251284A. Автор: Shuichi Matsuda,修一 松田,Yaichiro Watakabe,渡壁 弥一郎. Владелец: Mitsubishi Electric Corp. Дата публикации: 1985-12-11.

Dry etching apparatus

Номер патента: JPS62154626A. Автор: 隆三 宝珍,Riyuuzou Houchin,Ichiro Nakayama,一郎 中山,Masuo Tanno,丹野 益男. Владелец: Matsushita Electric Industrial Co Ltd. Дата публикации: 1987-07-09.

Etching apparatus and etching method

Номер патента: JP7141892B2. Автор: 伸 佐々木,哲男 木下. Владелец: Pre Tech Co Ltd. Дата публикации: 2022-09-26.

Plasma etching apparatus

Номер патента: JPS6420621A. Автор: Kotaro Hamashima,Masanobu Nakano,Naoyoshi Fujiwara. Владелец: Toshiba Corp. Дата публикации: 1989-01-24.

Etching apparatus for semiconductor wafer

Номер патента: JPS6433933A. Автор: Asako Murai. Владелец: NEC Corp. Дата публикации: 1989-02-03.

Plasma etching apparatus

Номер патента: JPS62279637A. Автор: Satoshi Nakagawa,聡 中川. Владелец: Matsushita Electronics Corp. Дата публикации: 1987-12-04.

Dry etching apparatus

Номер патента: JPS63233532A. Автор: Kenichi Hatasako,Yukio Sonobe,Shuji Kiriyama,桐山 修司,園部 幸夫,畑迫 健一. Владелец: Mitsubishi Electric Corp. Дата публикации: 1988-09-29.

Glass etching apparatus using controllable fluid fields

Номер патента: CN103043913A. Автор: 朱迈,罗清泉,谢庆强,陈秀玉. Владелец: SHANTOU TOPJET TECHNOLOGY Co Ltd. Дата публикации: 2013-04-17.

Glassware-etching apparatus

Номер патента: SU865754A2. Автор: Николай Михайлович Трошин. Владелец: Предприятие П/Я В-8753. Дата публикации: 1981-09-23.

Double-groove macrostructure electrolysis etching apparatus

Номер патента: CN201220974Y. Автор: 章利球. Владелец: LAB TESTING TECHNOLOGY (SHANGHAI) Co Ltd. Дата публикации: 2009-04-15.

Dry etching apparatus

Номер патента: JPS5980779A. Автор: Tsunetoshi Arikado,経敏 有門. Владелец: Toshiba Corp. Дата публикации: 1984-05-10.

Dry etching apparatus

Номер патента: JPS632324A. Автор: 守孝 中村,Moritaka Nakamura. Владелец: Fujitsu Ltd. Дата публикации: 1988-01-07.

Etching apparatus and etching method

Номер патента: JP6681228B2. Автор: 真樹 鰍場,鰍場 真樹,勇哉 赤西. Владелец: Screen Holdings Co Ltd. Дата публикации: 2020-04-15.

Semiconductor etching apparatus

Номер патента: CN100456434C. Автор: 陈卓. Владелец: Beijing North Microelectronics Co Ltd. Дата публикации: 2009-01-28.