• Главная
  • Dual metal gate finFETs with single or dual high-K gate dielectric

Dual metal gate finFETs with single or dual high-K gate dielectric

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Dual metal gate finfets with single or dual high-k gate dielectric

Номер патента: US20090078997A1. Автор: Brian J. Greene,Mahender Kumar. Владелец: International Business Machines Corp. Дата публикации: 2009-03-26.

Method of forming high-K gate electrode structures after transistor fabrication

Номер патента: GB2468445A. Автор: Andy Wei,Andrew M Waite. Владелец: Advanced Micro Devices Inc. Дата публикации: 2010-09-08.

Triple gate and double gate finFETs with different vertical dimension fins

Номер патента: US8207027B2. Автор: Huilong Zhu,Yue Tan. Владелец: International Business Machines Corp. Дата публикации: 2012-06-26.

High-K Metal Gate Process and Device

Номер патента: US20200251574A1. Автор: Chun-Chieh Wang,Huai-Tei Yang,Yueh-Ching Pai,Chun-I Wu,Chien-Shun Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-06.

High-k metal gate process and device

Номер патента: US10971602B2. Автор: Chun Chieh Wang,Huai-Tei Yang,Yueh-Ching Pai,Chun-I Wu,Chien-Shun Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-04-06.

Structure having different gate dielectric widths in different regions of substrate

Номер патента: US20230326924A1. Автор: Hong Yu,Anton V. Tokranov,Edward P. Reis, JR.. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-10-12.

Gate Dielectric Of Semiconductor Device

Номер патента: US20140091400A1. Автор: Kuang-Yuan Hsu,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-04-03.

Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices

Номер патента: US09741720B1. Автор: Balaji Kannan,Shahab Siddiqui,Siddarth Krishnan. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Manufacturing method for dual work-function metal gates

Номер патента: US10403553B2. Автор: Qingchun Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-09-03.

Manufacturing method for dual work-function metal gates

Номер патента: US20180211886A1. Автор: Qingchun Zhang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-07-26.

Dual high-k oxides with sige channel

Номер патента: CN102292800B. Автор: 罗天英,戈里·V·卡尔韦,丹尼尔·G·特克莱亚布. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2015-05-20.

Strained spacer design for protecting high-K gate dielectric

Номер патента: US7763945B2. Автор: Chih-Hao Wang,Shang-Chih Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2010-07-27.

Semiconductor device having high-k gate dielectric layer and method for manufacturing the same

Номер патента: US7683432B2. Автор: Hiroshi Oji. Владелец: ROHM CO LTD. Дата публикации: 2010-03-23.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20180174847A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-06-21.

METHOD OF LATERAL OXIDATION OF NFET AND PFET HIGH-K GATE STACKS

Номер патента: US20190267243A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2019-08-29.

Method of forming high-K gate electrode structures after transistor fabrication

Номер патента: GB201010321D0. Автор: . Владелец: Advanced Micro Devices Inc. Дата публикации: 2010-08-04.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20160365252A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-12-15.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20180174847A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-06-21.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20190267243A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2019-08-29.

Method of lateral oxidation of NFET and PFET high-k gate stacks

Номер патента: US09941128B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

Method of lateral oxidation of NFET and PFET high-K gate stacks

Номер патента: US09466492B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

Metal-gate CMOS device and fabrication method thereof

Номер патента: US8592271B2. Автор: Shih-Hung Tsai,Cheng-Tzung Tsai,Chen-Hua Tsai,Wen-Tai Chiang. Владелец: United Microelectronics Corp. Дата публикации: 2013-11-26.

Semiconductor device having high-k gate insulation films and fabricating method thereof

Номер патента: US20150325670A1. Автор: Young-hun Kim,Ju-youn Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-11-12.

Verfahren zum Herstellen eines Transistors mit einem high-k-Gate-Dielektrikum

Номер патента: DE102005016925B4. Автор: Harald Seidl,Martin Ulrich Dr. Gutsche. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2008-09-04.

Germanium FinFETs with metal gates and stressors

Номер патента: US09698060B2. Автор: Clement Hsingjen Wann,Chih-Sheng Chang,Chih Chieh Yeh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-04.

Reducing pattern loading in the etch-back of metal gate

Номер патента: US12034059B2. Автор: Po-Chin Chang,Wei-Hao Wu,Pinyen Lin,Li-Te Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-09.

Reducing pattern loading in the etch-back of metal gate

Номер патента: US20240322010A1. Автор: Po-Chin Chang,Wei-Hao Wu,Pinyen Lin,Li-Te Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-26.

Bottom-up metal gate formation on replacement metal gate finfet devices

Номер патента: US20160204221A1. Автор: Hong He,Chih-Chao Yang,Juntao Li,Junli Wang. Владелец: International Business Machines Corp. Дата публикации: 2016-07-14.

Independent gate finfet with backside gate contact

Номер патента: US20180248041A1. Автор: Tenko Yamashita,Terence B. Hook,Joshua M. Rubin. Владелец: International Business Machines Corp. Дата публикации: 2018-08-30.

Independent gate finfet with backside gate contact

Номер патента: US20180248042A1. Автор: Tenko Yamashita,Terence B. Hook,Joshua M. Rubin. Владелец: International Business Machines Corp. Дата публикации: 2018-08-30.

Method and structure for metal gates

Номер патента: US09761684B2. Автор: Ming-Hsi Yeh,Chao-Cheng Chen,Ming-Chia Tai,Ju-Li Huang,Calvin Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-09-12.

Method and structure for metal gates

Номер патента: US09431304B2. Автор: Ming-Hsi Yeh,Chao-Cheng Chen,Ming-Chia Tai,Ju-Li Huang,Calvin Chiang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Method and structure for metal gate boundary isolation

Номер патента: US20230299153A1. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

Forming single diffusion break and end isolation region after metal gate replacement, and related structure

Номер патента: US20190148242A1. Автор: Hong Yu,Hui Zang. Владелец: Globalfoundries Inc. Дата публикации: 2019-05-16.

Metal gate structure and methods thereof

Номер патента: US20180331199A1. Автор: Bao-Ru Young,Tung-Heng Hsieh,Chia-Sheng FAN,Tzung-Chi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-11-15.

Metal gate structure and methods thereof

Номер патента: US20200152757A1. Автор: Bao-Ru Young,Tung-Heng Hsieh,Chia-Sheng FAN,Tzung-Chi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-05-14.

Gate Structure and Methods of Forming Metal Gate Isolation

Номер патента: US20190334003A1. Автор: Meng-Fang Hsu,Chun-Sheng Liang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-31.

Replacement metal gate with reduced shorting and uniform chamfering

Номер патента: US20200066879A1. Автор: Hui Zang,Guowei Xu. Владелец: Globalfoundries Inc. Дата публикации: 2020-02-27.

Semiconductor device having metal gate and poly gate

Номер патента: US20240096643A1. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Alexander Kalnitsky. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-03-21.

Semiconductor device having metal gate and poly gate

Номер патента: US11854828B2. Автор: Harry-Hak-Lay Chuang,Wei-Cheng Wu,Alexander Kalnitsky. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-26.

Gate dielectric preserving gate cut process

Номер патента: US11152250B2. Автор: Chun-Fai Cheng,Chih-Ming Sun,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-19.

Method for selective removal of gate dielectric from dummy fin

Номер патента: US11837649B2. Автор: Shih-Yao Lin,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-05.

Gate dielectric preserving gate cut process

Номер патента: US11876013B2. Автор: Chun-Fai Cheng,Chih-Ming Sun,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-16.

High-K gate dielectric

Номер патента: US11862706B2. Автор: Yu-Kuan Lin,Chia-Hao Pao,Chih-Hsuan CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-02.

High-k gate dielectric

Номер патента: US20240154019A1. Автор: Yu-Kuan Lin,Chia-Hao Pao,Chih-Hsuan CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-09.

Semiconductor device with metal gate

Номер патента: US09685444B2. Автор: Kuang-Yuan Hsu,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-20.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20180226485A1. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-08-09.

Metal gate scheme for device and methods of forming

Номер патента: US09941376B2. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Metal gate scheme for device and methods of forming

Номер патента: US09871114B2. Автор: Hsueh Wen Tsau,Chia-Ching Lee,Da-Yuan Lee,Chung-Chiang WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-01-16.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20190259853A1. Автор: Hsueh Wen Tsau,Chia-Ching Lee,Da-Yuan Lee,Chung-Chiang WU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-08-22.

Metal Gate Scheme for Device and Methods of Forming

Номер патента: US20200098883A1. Автор: Chi-Wen Liu,Chun Che Lin,Shiu-Ko Jangjian,Chih-Nan Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-26.

Replacement Metal Gate Integration for Gate All Around Transistors

Номер патента: US20240186401A1. Автор: Effendi Leobandung,Eric Miller,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Method for Manufacturing High-Voltage Metal Gate Device

Номер патента: US20230142968A1. Автор: Hua Shao,Haoyu Chen,Xiaoliang Tang. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2023-05-11.

Method for forming air gap between gate dielectric layer and spacer

Номер патента: US12107121B2. Автор: Zhi-Cheng Lee,Kai-Lin Lee,Chuang-Han Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-01.

FinFET with dual workfunction gate structure

Номер патента: US09698270B2. Автор: Jean-Pierre Colinge,Wen-Hsing Hsieh. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-07-04.

N/p-independently strained post-replacement metal gate (rmg) gate cut for performance enhanced finfet

Номер патента: US20240243131A1. Автор: Haining Yang,Junjing Bao,Ming-Huei Lin. Владелец: Qualcomm Inc. Дата публикации: 2024-07-18.

Method for forming metal gate

Номер патента: US20120244675A1. Автор: Chun-Yuan Wu,Chin-Cheng Chien,Chiu-Hsien Yeh,Yeng-Peng Wang. Владелец: United Microelectronics Corp. Дата публикации: 2012-09-27.

Method of forming a semiconductor device having a metal gate

Номер патента: US9230864B1. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu,Shi-Xiong Lin. Владелец: United Microelectronics Corp. Дата публикации: 2016-01-05.

System and method for integrating multiple metal gates for CMOS applications

Номер патента: US20040171222A1. Автор: Wei Gao,Yoshi Ono,John Conley. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2004-09-02.

Metal gate and manufuacturing process thereof

Номер патента: US09577067B2. Автор: Tsung-Yu CHIANG,Chang-Yin Chen,Chai-Wei Chang,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

Method of forming different voltage devices with high-k metal gate

Номер патента: US09368499B2. Автор: Sung-taeg Kang,Cheong Min Hong,Asanga H. Perera. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2016-06-14.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20150187586A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2015-07-02.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20170263458A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2017-09-14.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US9337042B2. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2016-05-10.

Dual metal gates for mugfet device

Номер патента: US20080272433A1. Автор: Weize Xiong,Husam Niman Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2008-11-06.

High-K gate dielectric with work function adjustment metal layer

Номер патента: US8860143B2. Автор: Jing Wang,Jun Xu,Mei Zhao,Renrong Liang. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2014-10-14.

HYBRID GATE LAST INTEGRATION SCHEME FOR MULTI-LAYER HIGH-k GATE STACKS

Номер патента: US20140110791A1. Автор: Robert D. Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-04-24.

Hybrid gate last integration scheme for multi-layer high-k gate stacks

Номер патента: WO2014062377A2. Автор: Robert D. Clark. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2014-04-24.

Lateral undercut of metal gate in SOI device

Номер патента: US20070040223A1. Автор: Gilbert Dewey,Mark Doczy,Justin Brask,Brian Doyle,Suman Datta,Robert Chau,Jack Kavalieros. Владелец: Intel Corp. Дата публикации: 2007-02-22.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US09627214B2. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US9514948B2. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-12-06.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20160315166A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-10-27.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20150171182A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2015-06-18.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20160314977A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-10-27.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: WO2004084311A1. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corporation. Дата публикации: 2004-09-30.

Semiconductor device and method for high-k gate dielectrics

Номер патента: US7355235B2. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-04-08.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: EP1604405A1. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corp. Дата публикации: 2005-12-14.

Dual metal gate electrode for reducing threshold voltage

Номер патента: US09577062B2. Автор: Hiroshi Sunamura,Hemanth Jagannathan. Владелец: Renesas Electronics Corp. Дата публикации: 2017-02-21.

Mos transistor operated as otp cell with gate dielectric operating as an e-fuse element

Номер патента: US20150200251A1. Автор: Min-Hwa Chi,Yanxiang Liu. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-16.

Work function adjustment in high-k gate stacks for devices of different threshold voltage

Номер патента: US8357604B2. Автор: Jan Hoentschel,Sven Beyer,Thilo Scheiper. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-22.

Work function adjustment in high-k gate stacks for devices of different threshold voltage

Номер патента: US20110127616A1. Автор: Jan Hoentschel,Sven Beyer,Thilo Scheiper. Владелец: Individual. Дата публикации: 2011-06-02.

Conductive cap for metal-gate transistor

Номер патента: US09698232B2. Автор: Stanley Seungchul SONG,Haining Yang. Владелец: Qualcomm Inc. Дата публикации: 2017-07-04.

Forming metal contacts on metal gates

Номер патента: US11901426B2. Автор: Mei-Yun Wang,Chen-Yuan Kao,feng-yu Chang,Chao-Hsun Wang,Yu-Feng Yin,Kuo-Yi Chao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Conductive cap for metal-gate transistor

Номер патента: WO2016148927A1. Автор: Stanley Seungchul SONG,Haining Yang. Владелец: QUALCOMM INCORPORATED. Дата публикации: 2016-09-22.

High-K metal gate

Номер патента: US09431509B2. Автор: James Joseph Chambers,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2016-08-30.

Metal gate mos transistor with reduced gate-to-source and gate-to-drain overlap capacitance

Номер патента: WO2014074777A1. Автор: Manoj Mehrotra,Hiroaki Niimi. Владелец: Texas Instruments Japan Limited. Дата публикации: 2014-05-15.

High-k metal gate

Номер патента: US20140183653A1. Автор: James Joseph Chambers,Hiroaki Niimi. Владелец: Texas Instruments Inc. Дата публикации: 2014-07-03.

High-K Metal Gate Process and Device

Номер патента: US20200105532A1. Автор: Chun-Chieh Wang,Huai-Tei Yang,Yueh-Ching Pai,Chun-I Wu,Chien-Shun Liao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-02.

CMOS Circuits with High-K Gate Dielectric

Номер патента: US20080272438A1. Автор: Bruce B. Doris,Vijay Narayanan,Charlotte DeWan Adams,Eduard Albert Cartier. Владелец: International Business Machines Corp. Дата публикации: 2008-11-06.

(110)-oriented p-channel trench MOSFET having high-k gate dielectric

Номер патента: TW201017886A. Автор: Qi Wang,Tat Ngai. Владелец: Fairchild Semiconductor. Дата публикации: 2010-05-01.

Scavenging metal stack for a high-k gate dielectric

Номер патента: US7989902B2. Автор: Takashi Ando,Changhwan Choi,Martin M. Frank,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2011-08-02.

Semiconductor device having a high-K gate dielectric layer

Номер патента: US8912611B2. Автор: Hajin LIM,Jinho Do,Weonhong Kim,Moonkyun Song,Dae-Kwon Joo,Kyungil Hong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-12-16.

High-k gate dielectric and method of manufacture

Номер патента: US8294201B2. Автор: Chen-Hua Yu,Liang-Gi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-10-23.

High-k gate dielectric and method of manufacture

Номер патента: CN101364540A. Автор: 余振华,姚亮吉. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-02-11.

(110)-oriented p-channel trench mosfet having high-K gate dielectric

Номер патента: CN101673766A. Автор: 王�琦,塔特·恩盖. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2010-03-17.

Nitrogen treatment to improve high-k gate dielectrics

Номер патента: TW200623316A. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen,Ta-Wei Wang. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-07-01.

High-K Gate Dielectric and Method of Manufacture

Номер патента: US20090042381A1. Автор: Chen-Hua Yu,Liang-Gi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-02-12.

Nitrogen treatment to improve high-k gate dielectrics

Номер патента: TWI278060B. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen,Ta-Wei Wang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2007-04-01.

Semiconductor device with composite gate dielectric and method for preparing the same

Номер патента: US20230262955A1. Автор: Li-Han Lu. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-17.

METHOD FOR FABRICATING A METAL HIGH-K GATE STACK FOR A BURIED RECESSED ACCESS DEVICE

Номер патента: US20170263458A1. Автор: Fischer Mark,MAYUZUMI Satoru,Violette Michael. Владелец: . Дата публикации: 2017-09-14.

METHOD OF LATERAL OXIDATION OF NFET AND PFET HIGH-K GATE STACKS

Номер патента: US20160365252A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2016-12-15.

Method for integration of dual metal gates and dual high-k dielectrics in cmos devices

Номер патента: US20120094447A1. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-04-19.

A dual metal gate process: metals and their silicides

Номер патента: SG135914A1. Автор: Mei Sheng Zhou,Simon Chooi,Kin Leong Pey,Wenhe Lin. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2007-10-29.

Dual metal gate process: metals and their silicides

Номер патента: US6475908B1. Автор: Mei-Sheng Zhou,Simon Chooi,Kin Leong Pey,Wenhe Lin. Владелец: Chartered Semiconductor Manufacturing Pte Ltd. Дата публикации: 2002-11-05.

Sloped finFET with methods of forming same

Номер патента: US10629703B2. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2020-04-21.

Sloped finfet with methods of forming same

Номер патента: US20180158924A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2018-06-07.

Sloped finfet with methods of forming same

Номер патента: US20180138286A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2018-05-17.

Sloped finFET with methods of forming same

Номер патента: US09985112B2. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2018-05-29.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US9093547B2. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-07-28.

Vertical type semiconductor devices including a metal gate and methods of forming the same

Номер патента: US20140203346A1. Автор: Chang-Hyun Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-07-24.

Method for forming metal gate

Номер патента: US8679923B2. Автор: Lily Jiang,Junzhu Cao,Cindy Li,Creek Zhu. Владелец: Semiconductor Manufacturing International Corp. Дата публикации: 2014-03-25.

FinFET with improved short channel effect and reduced parasitic capacitance

Номер патента: US8552477B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2013-10-08.

Process for formation of isolation trenches with high-K gate dielectrics

Номер патента: US6008095A. Автор: Mark I. Gardner,H. Jim Fulford,Charles E May. Владелец: Advanced Micro Devices Inc. Дата публикации: 1999-12-28.

Method of manufacturing a dual-gate FinFET

Номер патента: US09680023B1. Автор: YU Bao. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2017-06-13.

Seam-filling of metal gates with Si-containing layers

Номер патента: US11948981B2. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-02.

Seam-Filling of Metal Gates with Si-Containing Layers

Номер патента: US20240021680A1. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Metal gate with silicon sidewall spacers

Номер патента: US09496402B2. Автор: Po-Chi WU,Wen-Han Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-15.

Method of manufacturing a replacement metal gate device structure

Номер патента: US20220384195A1. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2022-12-01.

Replacement metal gate device structure and method of manufacturing same

Номер патента: US11769669B2. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2023-09-26.

Replacement metal gate device structure and method of manufacturing same

Номер патента: EP3933933A1. Автор: Jung-Chih Tsao,Min Han HSU. Владелец: TSMC Nanjing Co Ltd. Дата публикации: 2022-01-05.

Metal Gate Stack Having TaAlCN Layer

Номер патента: US20200090938A1. Автор: Ting-Chun Wang,Chi-Wen Liu,Chi-Cherng Jeng,Shiu-Ko Jangjian. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-03-19.

In-situ formation of metal gate modulators

Номер патента: US20240063061A1. Автор: Weng Chang,Cheng-Lung Hung,Chi On Chui,Chung-Chiang WU,Hsin-Han Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-22.

In-situ formation of metal gate modulators

Номер патента: US11842928B2. Автор: Weng Chang,Cheng-Lung Hung,Chi On Chui,Chung-Chiang WU,Hsin-Han Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-12.

Metal gates and methods of forming thereby

Номер патента: US12046519B2. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-07-23.

Metal Gates and Methods of Forming Thereby

Номер патента: US20240347392A1. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Finfet with dual work function metal

Номер патента: US20210296463A1. Автор: Takashi Ando,Pouya Hashemi,Alexander Reznicek,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2021-09-23.

FinFETs with multiple threshold voltages

Номер патента: US09472638B2. Автор: Hsien-Ming Lee,Po-Chin Kuo. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-18.

Metal gates and methods of forming thereby

Номер патента: US20210398861A1. Автор: Cheng-Lung Hung,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-12-23.

Passivator for Gate Dielectric

Номер патента: US20200066535A1. Автор: Xiong-Fei Yu,Che-Hao Chang,Cheng-Hao Hou,Tsung-Da Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-02-27.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20230109700A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-04-13.

High voltage polysilicon gate in high-k metal gate device

Номер патента: US20210043638A1. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-02-11.

Method for manufacturing semiconductor device having metal gate

Номер патента: US09685531B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-20.

Metal gate structure and method of formation

Номер патента: US09608086B2. Автор: Mariappan Hariharaputhiran,Jing Wan,Andy Chih-Hung Wei,Dae G. Yang. Владелец: Globalfoundries Inc. Дата публикации: 2017-03-28.

Controlled doping in a gate dielectric layer

Номер патента: US11777014B2. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Controlled doping in a gate dielectric layer

Номер патента: US20230378329A1. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Quadruple gate dielectric for gate-all-around transistors

Номер патента: US20200258785A1. Автор: Takashi Ando,ChoongHyun Lee,Jingyun Zhang. Владелец: International Business Machines Corp. Дата публикации: 2020-08-13.

Method of fabricating metal gate transistor

Номер патента: US12132095B2. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-29.

Conductive spline for metal gates

Номер патента: US09548384B2. Автор: Mahalingam Nandakumar,Steve Lytle. Владелец: Texas Instruments Inc. Дата публикации: 2017-01-17.

Semiconductor device having metal gate and manufacturing method thereof

Номер патента: US09490334B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Chia-Lin Lu. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-08.

Cutting Metal Gates in Fin Field Effect Transistors

Номер патента: US20200279854A1. Автор: Chun-Fai Cheng,Li-Wei Yin,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-09-03.

Forming two portion spacer after metal gate and contact formation, and related ic structure

Номер патента: US20200303261A1. Автор: Hui Zang,Yanping SHEN,Jiehui SHU. Владелец: Globalfoundries Inc. Дата публикации: 2020-09-24.

Cutting Metal Gates in Fin Field Effect Transistors

Номер патента: US20200020701A1. Автор: Chun-Fai Cheng,Li-Wei Yin,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-16.

Metal gate structure and method of formation

Номер патента: US20170162688A1. Автор: Mariappan Hariharaputhiran,Jing Wan,Andy Chih-Hung Wei,Dae G. Yang. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-08.

High voltage polysilicon gate in high-K metal gate device

Номер патента: US11950413B2. Автор: Meng-Han LIN,Te-Hsin Chiu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-04-02.

Metal Gates and Manufacturing Methods Thereof

Номер патента: US20200266282A1. Автор: Tsung-Han Tsai,Shih-Hsun Chang,Jen-Hsiang Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-08-20.

Semiconductor device structure with metal gate stack

Номер патента: US11769819B2. Автор: Xusheng Wu,Chang-Miao Liu,Huiling Shang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-26.

Metal gate structure and method of making the same

Номер патента: US9324620B2. Автор: Kun-Yuan Liao,Feng-Yi Chang,Chun-Lung Chen,Shi-Xiong Lin,Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2016-04-26.

Fin to fin trench contact through a metal gate cut

Номер патента: EP4109555A1. Автор: Guillaume Bouche,Leonard P. GULER,Andy Chih-Hung Wei,Shashi Vyas. Владелец: Intel Corp. Дата публикации: 2022-12-28.

Method of fabricating metal gate transistor

Номер патента: US20230238450A1. Автор: Zhi-Cheng Lee,Wei-Jen Chen,Kai-Lin Lee. Владелец: United Microelectronics Corp. Дата публикации: 2023-07-27.

Metal gate structure and methods thereof

Номер патента: US20190326282A1. Автор: Ming-Hsi Yeh,Kuo-Bin Huang,Ming-Chi Huang,Ying-Liang Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-10-24.

Metal gate structure and methods thereof

Номер патента: US20200373298A1. Автор: Ming-Hsi Yeh,Kuo-Bin Huang,Ming-Chi Huang,Ying-Liang Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-11-26.

Metal-gate high-k reference structure

Номер патента: WO2010018070A1. Автор: Edward Nowak,Brent Alan Anderson. Владелец: Ibm United Kingdom Limited. Дата публикации: 2010-02-18.

Method of forming a high-k gate dielectric layer

Номер патента: US20110006375A1. Автор: Manfred Ramin,Husam Alshareef,Michael F. Pas. Владелец: Texas Instruments Inc. Дата публикации: 2011-01-13.

Techniques providing high-k dielectric metal gate CMOS

Номер патента: US09431404B2. Автор: Wei-Yuan Lu,Chun-Fai Cheng,Kuan-Chung Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Dual metal gate corner

Номер патента: WO2010020546A4. Автор: Edward Nowak,Brent Alan Anderson. Владелец: Ibm United Kingdom Limited. Дата публикации: 2010-04-15.

Dual metal gate corner

Номер патента: US20120267726A1. Автор: Brent A. Anderson,Edward J. Nowak. Владелец: International Business Machines Corp. Дата публикации: 2012-10-25.

High dielectric constant metal gate mos transistor and method for making the same

Номер патента: US20220278217A1. Автор: YONG Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-09-01.

Self-Aligned Metal Gate Etch Back Process and Device

Номер патента: US20190259849A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-08-22.

Semiconductor device structure having multi-layered insulating cap layers over metal gate

Номер патента: US09502527B2. Автор: Yung-Tsun LIU. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-22.

Method for manufacturing metal gate

Номер патента: US20240154005A1. Автор: ZHOU Yao. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-05-09.

Conformity control for metal gate stack

Номер патента: US09396953B2. Автор: Yu-Sheng Wang,Chi-Cheng Hung,Kuan-Ting Liu,Ching-Hwanq Su. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-07-19.

Method for fabricating metal gate structures

Номер патента: US20050202644A1. Автор: Mark Doczy,Justin Brask,Mark Liu,Robert Chau,Jack Kavalieros,Matthew Metz. Владелец: Intel Corp. Дата публикации: 2005-09-15.

Method and structure for metal gates

Номер патента: US12100627B2. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Method and structure for metal gates

Номер патента: US20240363441A1. Автор: Yen-Yu Chen,Po-An Chen,Soon-Kang Huang,Tung-Huang Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Semiconductor devices comprising nitrogen-doped gate dielectric

Номер патента: US09922885B1. Автор: Yoshikazu Moriwaki. Владелец: Micron Technology Inc. Дата публикации: 2018-03-20.

High-k / metal gate CMOS transistors with TiN gates

Номер патента: US09721847B2. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2017-08-01.

Preventing over-polishing of poly gate in metal-gate CMP

Номер патента: US09543212B2. Автор: LI Jiang,Pulei Zhu,Xiantao Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-01-10.

Contact first replacement metal gate

Номер патента: US9985104B2. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2018-05-29.

Silicide layers in contacts for high-k/metal gate transistors

Номер патента: EP1972004A2. Автор: Mark T. Bohr. Владелец: Intel Corp. Дата публикации: 2008-09-24.

Methods of fabricating a semiconductor device having a metal gate pattern

Номер патента: US20060270205A1. Автор: Chang-Won Lee,Sung-Man Kim,Sun-pil Youn,Ja-hum Ku,Seong-Jun Heo. Владелец: Individual. Дата публикации: 2006-11-30.

Work function metal gate device

Номер патента: US20240313074A1. Автор: Chih-Wen Huang,Shih-An Huang. Владелец: United Microelectronics Corp. Дата публикации: 2024-09-19.

Work function metal gate device

Номер патента: US12021129B2. Автор: Chih-Wen Huang,Shih-An Huang. Владелец: United Microelectronics Corp. Дата публикации: 2024-06-25.

Semiconductor device having metal gate

Номер патента: US09679898B2. Автор: Chien-Ming Lai,Ya-Huei Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2017-06-13.

Method for CMP of high-K metal gate structures

Номер патента: US09646840B2. Автор: Jian Zhao,Hangping Wang. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-05-09.

Method of forming metal gate to mitigate antenna defect

Номер патента: US09613959B2. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-04-04.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09570583B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2017-02-14.

Contact first replacement metal gate

Номер патента: US09496362B1. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2016-11-15.

Selectively deposited metal gates and method of manufacturing thereof

Номер патента: US09496361B1. Автор: Yu-Cheng Tung,En-Chiuan Liou. Владелец: United Microelectronics Corp. Дата публикации: 2016-11-15.

MOS transistor having a gate dielectric with multiple thicknesses

Номер патента: US09466715B2. Автор: Chih-Chang CHENG,Fu-Yu Chu,Ruey-Hsin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-11.

Recessing RMG metal gate stack for forming self-aligned contact

Номер патента: US09455330B2. Автор: Xiuyu Cai,Kangguo Cheng,Ali Khakifirooz,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2016-09-27.

Method for manufacturing semiconductor device having metal gate

Номер патента: US09443954B2. Автор: Chih-Kai Hsu,Ssu-I Fu,Yu-Hsiang Hung,Jyh-Shyang Jenq. Владелец: United Microelectronics Corp. Дата публикации: 2016-09-13.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US20130005128A1. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-03.

Method for adjusting effective work function of metal gate

Номер патента: US9831089B2. Автор: Jiang Yan,HONG Yang,Wenwu Wang,Weichun LUO. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2017-11-28.

Field-effect transistors with deposited gate dielectric layers

Номер патента: EP4421878A1. Автор: Francois Hebert,James A. Cooper,Hema Lata Rao MADDI. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-08-28.

Field-effect transistors with deposited gate dielectric layers

Номер патента: US20240290879A1. Автор: Francois Hebert,James A. Cooper,Hema Lata Rao MADDI. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-08-29.

Metal gate fill by optimizing etch in sacrificial gate profile

Номер патента: US8765537B2. Автор: Bin Yang,Man Fai NG. Владелец: Globalfoundries Inc. Дата публикации: 2014-07-01.

Semiconductor device utilizing a metal gate material such as tungsten and method of manufacturing the same

Номер патента: US20100093144A1. Автор: Tae Kyun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-04-15.

Metal gate process for FinFET device improvement

Номер патента: US09837505B2. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-12-05.

Select gates with select gate dielectric first

Номер патента: US09443862B1. Автор: Yusuke Yoshida,Kazutaka Yoshizawa,Dai Iwata. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-09-13.

Low threshold voltage transistor with non-uniform thickness gate dielectric

Номер патента: GB2451122A. Автор: Paul Ronald Stribley. Владелец: X Fab UK Ltd. Дата публикации: 2009-01-21.

High-k/metal gate cmos transistors with tin gates

Номер патента: EP3090445A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2016-11-09.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150187653A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2015-07-02.

High-k/metal gate cmos transistors with tin gates

Номер патента: WO2015103412A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Japan Limited. Дата публикации: 2015-07-09.

HIGH-K / METAL GATE CMOS TRANSISTORS WITH TiN GATES

Номер патента: US20150287643A1. Автор: Hiroaki Niimi,Brian K. Kirkpatrick. Владелец: Texas Instruments Inc. Дата публикации: 2015-10-08.

Hybrid gate dielectrics for semiconductor power devices

Номер патента: WO2016187387A1. Автор: Salman Akram,Venkat Ananthan. Владелец: Fairchild Semiconductor Corporation. Дата публикации: 2016-11-24.

Hybrid gate dielectrics for semiconductor power devices

Номер патента: US20180269302A1. Автор: Salman Akram,Venkat Ananthan. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2018-09-20.

Hybrid gate dielectrics for semiconductor power devices

Номер патента: US20160343823A1. Автор: Salman Akram,Venkat Ananthan. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2016-11-24.

Enhanced gate dielectric for a field effect device with a trenched gate

Номер патента: EP3022772A2. Автор: LIN Cheng,John Williams Palmour,Anant Kumar Agarwal,Daniel Jenner Lichtenwalner. Владелец: Cree Inc. Дата публикации: 2016-05-25.

Enhanced gate dielectric for a field effect device with a trenched gate

Номер патента: EP3826073A1. Автор: LIN Cheng,John Williams Palmour,Anant Kumar Agarwal,Daniel Jenner Lichtenwalner. Владелец: Cree Inc. Дата публикации: 2021-05-26.

Superior integrity of high-k metal gate stacks by capping sti regions

Номер патента: SG183635A1. Автор: Baars Peter,Scheiper Thilo,Beyer Sven. Владелец: Globalfoundries Dresden Mod 1. Дата публикации: 2012-09-27.

Method of manufacturing a trench FET having a merged gate dielectric

Номер патента: US09853142B2. Автор: Ling Ma. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-12-26.

Replacement metal gate structures

Номер патента: US09691877B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-27.

Replacement metal gate structures

Номер патента: US09685532B2. Автор: Theodorus E. Standaert,Kangguo Cheng,Junli Wang,Veeraraghavan S. Basker. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Methods and apparatus of metal gate transistors

Номер патента: US09508590B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Bor-Zen Tien,Tzong-Sheng Chang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

DRAM device having a gate dielectric layer with multiple thicknesses

Номер патента: US7948028B2. Автор: Shing-Hwa Renn. Владелец: Nanya Technology Corp. Дата публикации: 2011-05-24.

Method of forming metal gate to mitigate antenna defect

Номер патента: US20170033105A1. Автор: Shiang-Bau Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-02.

Contact first replacement metal gate

Номер патента: US20170194452A1. Автор: Ravikumar Ramachandran,Viraj Y. Sardesai,Emre Alptekin. Владелец: International Business Machines Corp. Дата публикации: 2017-07-06.

Metal gate process for finfet device improvement

Номер патента: US20170141203A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-18.

Metal gate structure for semiconductor devices

Номер патента: US20140246735A1. Автор: Richard Carter,Thilo Scheiper,Martin Trentzsch,Carsten Grass. Владелец: Globalfoundries Inc. Дата публикации: 2014-09-04.

Metal Gate Process for FinFET Device Improvement

Номер патента: US20200006513A1. Автор: Horng-Huei Tseng,Che-Cheng Chang,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-01-02.

Method for adjusting effective work function of metal gate

Номер патента: US20160240382A1. Автор: Jiang Yan,HONG Yang,Wenwu Wang,Weichun LUO. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2016-08-18.

Replacement metal gate transistor

Номер патента: US09728623B2. Автор: Ying Zhang,Steven Sherman. Владелец: Varian Semiconductor Equipment Associates Inc. Дата публикации: 2017-08-08.

Semiconductor device having metal gate structure and fabrication method thereof

Номер патента: US09728620B2. Автор: Ming Zhou. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-08-08.

Semiconductor device having metal gate and fabrication method thereof

Номер патента: US09524968B1. Автор: Chien-Ming Lai,Ya-Huei Tsai. Владелец: United Microelectronics Corp. Дата публикации: 2016-12-20.

Integrated short channel omega gate FinFET and long channel FinFET

Номер патента: US12100766B2. Автор: Oleg Gluschenkov,Alexander Reznicek,Ruilong Xie. Владелец: International Business Machines Corp. Дата публикации: 2024-09-24.

Integrated circuit structures having metal gate plug landed on dielectric dummy fin

Номер патента: WO2023121794A1. Автор: Guillaume Bouche,Andy Chih-Hung Wei. Владелец: Intel Corporation. Дата публикации: 2023-06-29.

Damascene tri-gate finfet

Номер патента: WO2005071726A1. Автор: Bin Yu,HaiHong Wang,Shibly S. Ahmed. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2005-08-04.

Techniques for Forming Replacement Metal Gate for VFET

Номер патента: US20210098602A1. Автор: Kangguo Cheng,Ruilong Xie,Chanro Park,Heng Wu. Владелец: International Business Machines Corp. Дата публикации: 2021-04-01.

Metal gate finFET device

Номер патента: US09461041B2. Автор: Yu-Lin Yang,Chih Chieh Yeh,Li-Shyue Lai,Tsu-Hsiu Perng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-04.

Metal gate structures of semiconductor devices

Номер патента: US20210193828A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-24.

Metal gate structures of semiconductor devices

Номер патента: US20220190153A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-06-16.

FinFET with bottom SiGe layer in source/drain

Номер патента: US9293581B2. Автор: Pei-Ren Jeng,Tze-Liang Lee,Ming-Hua Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-03-22.

FinFET with bottom SiGe layer in source/drain

Номер патента: US09911829B2. Автор: Pei-Ren Jeng,Tze-Liang Lee,Ming-Hua Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-06.

Poly resistor for metal gate integrated circuits

Номер патента: US09508708B2. Автор: Kamel Benaissa. Владелец: Texas Instruments Inc. Дата публикации: 2016-11-29.

Semiconductor device having mid-gap work function metal gate electrode

Номер патента: US09461132B2. Автор: Dong-won Kim,Il-Ryong Kim,Keon-Yong Cheon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-10-04.

Single-gate FinFET and fabrication method thereof

Номер патента: EP2393118A1. Автор: Shing-Hwa Renn. Владелец: Nanya Technology Corp. Дата публикации: 2011-12-07.

Metal gate and method for manufacturing the same

Номер патента: US20220246762A1. Автор: Yingju Chen,Liyao Liu,Chanyuan Hu,Jhencyuan Li. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2022-08-04.

FinFET with Bottom SiGe Layer in Source/Drain

Номер патента: US20150137180A1. Автор: Pei-Ren Jeng,Tze-Liang Lee,Ming-Hua Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-05-21.

FinFET with Bottom SiGe Layer in Source/Drain

Номер патента: US20160163836A1. Автор: Pei-Ren Jeng,Tze-Liang Lee,Ming-Hua Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-06-09.

Schottky diodes for replacement metal gate integrated circuits

Номер патента: US09564427B2. Автор: Mahalingam Nandakumar. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-07.

METHOD FOR FABRICATING A METAL HIGH-K GATE STACK FOR A BURIED RECESSED ACCESS DEVICE

Номер патента: US20150187586A1. Автор: Fischer Mark,MAYUZUMI Satoru,Violette Michael. Владелец: . Дата публикации: 2015-07-02.

Etching process for high-k gate dielectrics

Номер патента: US20050042859A1. Автор: Yuan-Hung Chiu,Mo-Chiun Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-02-24.

Passivating point defects in high-k gate dielectric layers during gate stack formation

Номер патента: SG193698A1. Автор: Trentzsch Martin,Erben Elke,j carter Richard. Владелец: Globalfoundries Inc. Дата публикации: 2013-10-30.

High k gate insulator removal

Номер патента: US20040203246A1. Автор: Venkatesh Gopinath,Arvind Kamath,Wai Lo. Владелец: Individual. Дата публикации: 2004-10-14.

Integrated circuit structures having cut metal gates

Номер патента: US20240347539A1. Автор: Tahir Ghani,Mohammad Hasan,Biswajeet Guha,Leonard P. GULER,Mohit K. HARAN,Alison V. DAVIS. Владелец: Intel Corp. Дата публикации: 2024-10-17.

Gate structure in high-k metal gate technology

Номер патента: US20240290859A1. Автор: Alexander Kalnitsky,Wei Cheng Wu,Shih-Hao Lo,Hung-Pin Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

Metal gate double diffusion MOSFET with improved switching speed and reduced gate tunnel leakage

Номер патента: US20020084486A1. Автор: Duc Chau,Brian Mo. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2002-07-04.

Metal gate double diffusion mosfet with improved switching speed and reduced gate tunnel leakage

Номер патента: WO2000039858A8. Автор: Brian S Mo,Duc Q Chau. Владелец: Duc Q Chau. Дата публикации: 2001-11-01.

Rf sic mosfet with recessed gate dielectric

Номер патента: EP4378005A1. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2024-06-05.

RF SiC MOSFET WITH RECESSED GATE DIELECTRIC

Номер патента: US20230022394A1. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2023-01-26.

Power semiconductor device having a gate dielectric stack that includes a ferroelectric insulator

Номер патента: US12068390B2. Автор: Frank Dieter Pfirsch. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-08-20.

Field-effect transistor with a dielectric structure having a gate dielectric and a shielding dielectric

Номер патента: US20240145580A1. Автор: Andreas Hoffmann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-05-02.

Gate Dielectric for Bonded Stacked Transistors

Номер патента: US20240186394A1. Автор: Dechao Guo,Junli Wang,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

Lattice matched and strain compensated single-crystal compound for gate dielectric

Номер патента: US09876090B1. Автор: Martin M. Frank,Guy M. Cohen. Владелец: International Business Machines Corp. Дата публикации: 2018-01-23.

Enhanced stress memorization technique for metal gate transistors

Номер патента: US20150093871A1. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2015-04-02.

Cocktail layer over gate dielectric layer of FET FeRAM

Номер патента: US12127411B2. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-22.

Silicon carbide (SiC) device with improved gate dielectric shielding

Номер патента: US09685550B2. Автор: Martin Domeij. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2017-06-20.

Manufacturing method for metal gate

Номер патента: US20130023098A1. Автор: Yen-Liang Lu,Hsin-Chih Yu,Yu-Wen Wang,Po-Cheng Huang,Ching-I Li,Yu-Shu Lin,Ya-Jyuan Hung,Kuo-Chih Lai. Владелец: United Microelectronics Corp. Дата публикации: 2013-01-24.

Transistor structure with hybrid gate dielectric structure and asymmetric source/drain regions

Номер патента: US20240030343A1. Автор: Jagar Singh,Man Gu,Saloni Chaurasia. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-01-25.

RF SiC MOSFET with recessed gate dielectric

Номер патента: US11830943B2. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2023-11-28.

RF SiC MOSFET WITH RECESSED GATE DIELECTRIC

Номер патента: WO2023009325A1. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2023-02-02.

Third type of metal gate stack for CMOS devices

Номер патента: US09634006B2. Автор: Viraj Y. Sardesai,Ramachandra Divakaruni,Sameer H. Jain,Keith H. Tabakman. Владелец: International Business Machines Corp. Дата публикации: 2017-04-25.

Metal gate for robust ESD protection

Номер патента: US9343456B2. Автор: Andy Wei,Jagar Singh,Amaury Gendron-Hansen. Владелец: Globalfoundries Inc. Дата публикации: 2016-05-17.

Metal gate for robust esd protection

Номер патента: US20160071835A1. Автор: Andy Wei,Jagar Singh,Amaury Gendron-Hansen. Владелец: Globalfoundries Inc. Дата публикации: 2016-03-10.

Finfet with multilayer fins for multi-value logic (mvl) applications and method of forming

Номер патента: US20160211375A1. Автор: Min-Hwa Chi,Abhijeet Paul,Ajey Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2016-07-21.

Finfet with multilayer fins for multi-value logic (mvl) applications and method of forming

Номер патента: US20190326436A1. Автор: Min-Hwa Chi,Abhijeet Paul,Ajey Jacob. Владелец: Globalfoundries Inc. Дата публикации: 2019-10-24.

HIGH-K GATE DIELECTRIC

Номер патента: US20220293767A1. Автор: Lin Yu-Kuan,Pao Chia-Hao,Chen Chih-Hsuan. Владелец: . Дата публикации: 2022-09-15.

HIGH-K GATE DIELECTRIC

Номер патента: US20210391439A1. Автор: Lin Yu-Kuan,Pao Chia-Hao,Chen Chih-Hsuan. Владелец: . Дата публикации: 2021-12-16.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09911747B2. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2018-03-06.

Integration of a memory transistor into high-k, metal gate CMOS process flow

Номер патента: US09721962B1. Автор: Krishnaswamy Ramkumar. Владелец: Cypress Semiconductor Corp. Дата публикации: 2017-08-01.

High-k/metal gate mosfet with reduced parasitic capacitance

Номер патента: WO2009002670A1. Автор: Kangguo Cheng. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2008-12-31.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US09685521B2. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2017-06-20.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US20150255294A1. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2015-09-10.

Lowering parasitic capacitance of replacement metal gate processes

Номер патента: US20160111512A1. Автор: Effendi Leobandung,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2016-04-21.

CMOS Transistor With Dual High-k Gate Dielectric

Номер патента: US20140315362A1. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-10-23.

CMOS Transistor with dual high-k gate dielectric

Номер патента: US9269635B2. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-02-23.

CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof

Номер патента: TW200707651A. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-02-16.

Improving the reliability of high-k gate dielectric layers

Номер патента: TW200849412A. Автор: Ashutosh Ashutosh,Adrien Lavoie,Huicheng Chang,Aaron Budrevich. Владелец: Intel Corp. Дата публикации: 2008-12-16.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: TWI230434B. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corp. Дата публикации: 2005-04-01.

Two Step Deposition of High-k Gate Dielectric Materials

Номер патента: US20150140838A1. Автор: Amol Joshi,Kevin Kashefi,Salil Mujumdar. Владелец: Intermolecular Inc. Дата публикации: 2015-05-21.

Method of Forming High-K Gates Dielectrics

Номер патента: US20150332926A1. Автор: YANG Chih-Wei,Hsu Chia-Fu,Ke Jian-Cun. Владелец: UNITED MICROELECTRONICS CORP.. Дата публикации: 2015-11-19.

CMOS transistor with double high-k gate dielectric and associated manufacturing method

Номер патента: DE102005024417A1. Автор: Hong-Jyh Austin Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-02-09.

Semiconductor device for high-k gate dielectrics and fabrication method thereof

Номер патента: TWI278039B. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2007-04-01.

Semiconductor device with high-K gate dielectric layer and fabrication method thereof

Номер патента: US10431501B2. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-10-01.

High-K gate dielectric defect gettering using dopants

Номер патента: US20040127000A1. Автор: Luigi Colombo,James Chambers,Antonio Rotondaro. Владелец: Texas Instruments Inc. Дата публикации: 2004-07-01.

Method of patterning gate electrodes with high k gate dielectrics

Номер патента: TW533484B. Автор: Xia Li,James Yong Meng Lee,Yun-Qiang Zhang. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2003-05-21.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: TW200425392A. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corp. Дата публикации: 2004-11-16.

Improving the reliability of high-k gate dielectric layers

Номер патента: TWI370494B. Автор: Ashutosh Ashutosh,Adrien Lavoie,Huicheng Chang,Aaron Budrevich. Владелец: Intel Corp. Дата публикации: 2012-08-11.

Method of pattening gate electrodes with high k gate dielectrics

Номер патента: SG98448A1. Автор: Xia Li,Lee Yong Meng James,Qiang Zhang Yun. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2003-09-19.

HIGH-K GATE INSULATOR FOR A THIN-FILM TRANSISTOR

Номер патента: US20200083052A1. Автор: CHOI Soo Young,Rui Xiangxin,Yim Dong-Kil,ZHAI Yujia,ZHAO Lai. Владелец: . Дата публикации: 2020-03-12.

HIGH-K GATE INSULATOR FOR A THIN-FILM TRANSISTOR

Номер патента: US20190206691A1. Автор: CHOI Soo Young,Rui Xiangxin,Yim Dong-Kil,ZHAI Yujia,ZHAO Lai. Владелец: . Дата публикации: 2019-07-04.

METHOD FOR FABRICATING A METAL HIGH-K GATE STACK FOR A BURIED RECESSED ACCESS DEVICE

Номер патента: US20140357033A1. Автор: Fischer Mark,MAYUZUMI Satoru,Violette Michael. Владелец: . Дата публикации: 2014-12-04.

LATERAL OXIDATION OF NFET HIGH-K GATE STACKS

Номер патента: US20150318177A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2015-11-05.

High-k gate insulator for a thin-film transistor

Номер патента: WO2019135832A1. Автор: Soo Young Choi,Dong-Kil Yim,Lai ZHAO,Xiangxin Rui,Yujia ZHAI. Владелец: Applied Materials, Inc.. Дата публикации: 2019-07-11.

Interfacial layer regrowth control in high-k gate structure for field effect transistor

Номер патента: EP2294609A1. Автор: Markus Mueller,Jasmine Petry,Guillaume Boccardi. Владелец: NXP BV. Дата публикации: 2011-03-16.

High-K Gate Dielectric and Method Forming Same

Номер патента: US20240297084A1. Автор: Chi On Chui,Che-Hao Chang,Cheng-Hao Hou,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

High-k gate dielectric and method forming same

Номер патента: US12020991B2. Автор: Chi On Chui,Che-Hao Chang,Cheng-Hao Hou,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

Metal gate transistors

Номер патента: SG161181A1. Автор: Han Jin-Ping,James Lee Yong Meng,Thean Voon-Yew. Владелец: Infineon Technologies Corp. Дата публикации: 2010-05-27.

SEMICONDUCTOR DEVICE HAVING HIGH-K GATE DIELECTRIC LAYER

Номер патента: US20150200266A1. Автор: Wann Clement Hsingjen,LEE Kun-Yu,YAO Liang-Gi,OKUNO Yasutoshi. Владелец: . Дата публикации: 2015-07-16.

Fabrication of fully depleted field effect transistor with high-K gate dielectric in SOI technology

Номер патента: US6395589B1. Автор: Bin Yu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-05-28.

Work function adjustment in high-k gates stacks including gate dielectrics of different thickness

Номер патента: SG178409A1. Автор: Thilo Scheiper,Andy Wei,Martin Trentzsch. Владелец: Globalfoundries Inc. Дата публикации: 2012-04-27.

Multi-layer inter-gate dielectric structure and method of manufacturing thereof

Номер патента: US10192747B2. Автор: Chun Chen,Shenqing Fang. Владелец: Cypress Semiconductor Corp. Дата публикации: 2019-01-29.

Semiconductor device and method of fabricating metal gate of the same

Номер патента: US20090057783A1. Автор: Sung-Ho Park,Jin-seo Noh,Joong-S. Jeon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2009-03-05.

Metal gate structure of a CMOS semiconductor device

Номер патента: US09508721B2. Автор: Ming Zhu,Bao-Ru Young,Harry Hak-Lay Chuang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-11-29.

In-situ formation of metal gate modulators

Номер патента: US20240379448A1. Автор: Weng Chang,Cheng-Lung Hung,Chi On Chui,Chung-Chiang WU,Hsin-Han Tsai. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Dual metal capped via contact structures for semiconductor devices

Номер патента: US12100745B2. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-24.

Dual metal capped via contact structures for semiconductor devices

Номер патента: US20240363708A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-31.

Dual metal capped via contact structures for semiconductor devices

Номер патента: US20230261070A1. Автор: Chung-Liang Cheng,Ziwei Fang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-08-17.

Multi-layer gate dielectric

Номер патента: US09412860B2. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2016-08-09.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US20220254627A1. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2022-08-11.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US11935740B2. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2024-03-19.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US20210305042A1. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2021-09-30.

Semiconductor device having cut gate dielectric

Номер патента: US20220359302A1. Автор: Chang-Yun Chang,Ming-Chang Wen,Bone-Fong Wu,Ya-Hsiu LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Semiconductor device having cut gate dielectric

Номер патента: US11901237B2. Автор: Chang-Yun Chang,Ming-Chang Wen,Bone-Fong Wu,Ya-Hsiu LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Semiconductor device having cut gate dielectric

Номер патента: US20240213097A1. Автор: Chang-Yun Chang,Ming-Chang Wen,Bone-Fong Wu,Ya-Hsiu LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-27.

Method of forming an ultrathin gate dielectric

Номер патента: US6074919A. Автор: Mark I. Gardner,Thien T. Nguyen. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-06-13.

Multi-Layer Gate Dielectric

Номер патента: US20100052078A1. Автор: Gang Bai. Владелец: Individual. Дата публикации: 2010-03-04.

Multi-layer gate dielectric

Номер патента: US8581353B2. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2013-11-12.

Multi-layer gate dielectric

Номер патента: US20110089502A1. Автор: Gang Bai. Владелец: Individual. Дата публикации: 2011-04-21.

Multi-layer gate dielectric

Номер патента: US20140042560A1. Автор: Gang Bai. Владелец: Individual. Дата публикации: 2014-02-13.

Multi-layer gate dielectric

Номер патента: US20160343824A1. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2016-11-24.

Multi-layer gate dielectric

Номер патента: US8193593B2. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2012-06-05.

Replacement metal gate semiconductor device formation using low resistivity metals

Номер патента: US20140065811A1. Автор: Chang Seo Park,Vimal K. Kamineni. Владелец: Globalfoundries Inc. Дата публикации: 2014-03-06.

Method for forming gate dielectric layer

Номер патента: US9312138B2. Автор: Chia-Ming Tsai,Miin-Jang Chen,Liang-Chen Chi,Chin-Kun Wang,Jhih-Jie Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-04-12.

Transistor arrangements with metal gate cuts and recessed power rails

Номер патента: US11508847B2. Автор: Sean T. MA,Andy Chih-Hung Wei,Piyush Mohan Sinha. Владелец: Intel Corp. Дата публикации: 2022-11-22.

Metal gate structure cutting process

Номер патента: US11810909B2. Автор: MING-CHING Chang,Ryan Chia-Jen Chen,Shiang-Bau Wang,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-07.

Metal Gate Structure Cutting Process

Номер патента: US20190109126A1. Автор: MING-CHING Chang,Ryan Chia-Jen Chen,Shiang-Bau Wang,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-11.

Selective sin capping on metal gate for metal oxidation prevention

Номер патента: US20240304679A1. Автор: Tze-Liang Lee,Meng-Ku Chen,Pei-Yu Chou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-12.

Method and apparatus for a semiconductor device with a high-k gate

Номер патента: SG118387A1. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2006-01-27.

Metal gate cut with hybrid material fill

Номер патента: US20240213100A1. Автор: Matthew J. Prince,Anupama Bowonder,Chiao-Ti HUANG,Swapnadip Ghosh,Yulia Gotlib,Bishwajit Debnath. Владелец: Intel Corp. Дата публикации: 2024-06-27.

Method and structure for metal gate boundary isolation

Номер патента: US20240379773A1. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Metal gates of transistors having reduced resistivity

Номер патента: US11810819B2. Автор: Yi-Wei Chiu,Chia-Ching Tsai,Li-Te Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-07.

Metal Gates of Transistors Having Reduced Resistivity

Номер патента: US20240021473A1. Автор: Yi-Wei Chiu,Chia-Ching Tsai,Li-Te Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-18.

Multi-step process for patterning a metal gate electrode

Номер патента: US20060115972A1. Автор: Antonio Rotondaro,Trace Hurd,Deborah Riley. Владелец: Texas Instruments Inc. Дата публикации: 2006-06-01.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160155641A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-06-02.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20180130662A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2018-05-10.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160013061A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-01-14.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160013082A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-01-14.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20170133228A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-05-11.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160013083A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-01-14.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20170170022A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-06-15.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US09892927B2. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2018-02-13.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US09576804B2. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-21.

Replacement metal gate with borderless contact

Номер патента: WO2012066019A1. Автор: David Vaclav Horak,Theodorus Eduardus Standaert,Su Chen Fan. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-05-24.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20160300722A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-10-13.

Methods to utilize piezoelectric materials as gate dielectric in high frequency RBTs in an IC device

Номер патента: US09997695B2. Автор: Bichoy BAHR,Zoran Krivokapic. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-12.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US09779946B2. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-10-03.

Methods to utilize piezoelectric materials as gate dielectric in high frequency RBTs in an IC device

Номер патента: US09673376B1. Автор: Bichoy BAHR,Zoran Krivokapic. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-06.

Gate stack for metal gate transistor

Номер патента: US20220069091A1. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2022-03-03.

Metal gate transistor and fabrication method thereof

Номер патента: US10037943B2. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-07-31.

Transistor with doped gate dielectric

Номер патента: EP1711959A1. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-10-18.

Method of forming gate dielectric layer for MOS transistor

Номер патента: US09761687B2. Автор: Po-Lun Cheng,Chun-Liang Chen,Meng-Che Yeh,Shih-Jung Tu,Han-Lin Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Semiconductor device having high-k film and metal gate

Номер патента: US09640534B2. Автор: Je-Don Kim,Ju-youn Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-02.

Metal gate structure and manufacturing method thereof

Номер патента: US09583362B2. Автор: Kuang-Hsin Chen,Tsung-Yu CHIANG,Wei-Shuo HO. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-28.

Selectively forming a protective conductive cap on a metal gate electrode

Номер патента: US09379209B2. Автор: Min-Hwa Chi,Xiuyu Cai,Xusheng Wu,Jiajun Mao. Владелец: Globalfoundries Inc. Дата публикации: 2016-06-28.

Oxidation and Etching Post Metal Gate CMP

Номер патента: US20170125549A1. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-04.

Mechanism for forming metal gate structure

Номер патента: US09941152B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Oxidation and etching post metal gate CMP

Номер патента: US09917173B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-13.

Metal gate of gate-all-around transistor

Номер патента: US09786774B2. Автор: Chi-Wen Liu,Jean-Pierre Colinge. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-10.

Oxidation and etching post metal gate CMP

Номер патента: US09564511B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Mechanism for forming metal gate structure

Номер патента: US09564332B2. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-07.

Mechanism for forming metal gate structure

Номер патента: US09553161B2. Автор: Li-Chieh Wu,Chi-Jen Liu,Liang-Guang Chen,Shich-Chang Suen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-01-24.

Metal gate structure and manufacturing method thereof

Номер патента: US20220223709A1. Автор: Xiaoyu Liu. Владелец: Shanghai IC R&D Center Co Ltd. Дата публикации: 2022-07-14.

Electroless plating method for metal gate fill

Номер патента: US12107150B2. Автор: Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-01.

Method of removing dummy gate dielectric layer

Номер патента: US09570582B1. Автор: Bin Zhong,YU Bao,Jun Zhou,Haifeng Zhou,Xiaoqiang Zhou. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2017-02-14.

Metal gate transistor

Номер патента: US20180315711A1. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-11-01.

Metal gate transistor and fabrication method thereof

Номер патента: US20170186692A1. Автор: Jie Zhao. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2017-06-29.

Metal gate process and related structure

Номер патента: US20230386920A1. Автор: Chih-Teng Liao,Chen-Wei Pan,Chih-Lun Lu,Jih-Sheng Yang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-30.

Gate stack for metal gate transistor

Номер патента: US11862453B2. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2024-01-02.

Integrated Circuits with Doped Gate Dielectrics

Номер патента: US20200126789A1. Автор: Chung-Liang Cheng,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-23.

Integrated Circuits with Doped Gate Dielectrics

Номер патента: US20210175076A1. Автор: Chung-Liang Cheng,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-10.

Gate stack for metal gate transistor

Номер патента: US20240088235A1. Автор: Runzi Chang. Владелец: Marvell Asia Pte Ltd. Дата публикации: 2024-03-14.

Method of making MOS VLSI semiconductor device with metal gate and clad source/drain

Номер патента: US4661374A. Автор: Robert R. DOERING. Владелец: Texas Instruments Inc. Дата публикации: 1987-04-28.

Methods of atomic-layer deposition of hafnium oxide/erbium oxide bi-layer as advanced gate dielectrics

Номер патента: US20140291776A1. Автор: Jinhong Tong. Владелец: Intermolecular Inc. Дата публикации: 2014-10-02.

Method for fabricating gate dielectrics of metal-oxide-semiconductor transistors using rapid thermal processing

Номер патента: US20090108377A1. Автор: Zhi Chen,Jun Guo. Владелец: Individual. Дата публикации: 2009-04-30.

Electroless Plating Method for Metal Gate Fill

Номер патента: US20230299177A1. Автор: Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-09-21.

Mechanism for forming metal gate structure

Номер патента: US20170148665A1. Автор: Chih-Lin Wang,Chia-Der Chang,Wen-Jia HSIEH. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-25.

Metal gate structure

Номер патента: US09941373B2. Автор: Kuang-Yuan Hsu,Peng-Soon Lim,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Semiconductor process for treating metal gate

Номер патента: US09613826B2. Автор: Cheng-Chi Tai,Chung-Che Huang,Chun-Ju Tao. Владелец: United Microelectronics Corp. Дата публикации: 2017-04-04.

Method for fabricating dual-metal gate device

Номер патента: US20070077698A1. Автор: Srikanth Samavedam,Philip Tobin,David Gilmer. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-04-05.

Method for fabricating dual-metal gate device

Номер патента: EP1611612A2. Автор: Srikanth B. Samavedam,David C. Gilmer,Philip J. Tobin. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2006-01-04.

Method for fabricating dual-metal gate device

Номер патента: WO2004095527A3. Автор: Philip J Tobin,Srikanth B Samavedam,David C Gilmer. Владелец: David C Gilmer. Дата публикации: 2007-03-29.

Method for fabricating dual-metal gate device

Номер патента: US20050282326A1. Автор: Srikanth Samavedam,Philip Tobin,David Gilmer. Владелец: Individual. Дата публикации: 2005-12-22.

Method for fabricating dual-metal gate device

Номер патента: WO2004095527A2. Автор: Srikanth B. Samavedam,David C. Gilmer,Philip J. Tobin. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2004-11-04.

METAL GATE AND HIGH-K DIELECTRIC DEVICES WITH PFET CHANNEL SiGe

Номер патента: US20110068369A1. Автор: Kangguo Cheng,Bruce B. Doris,Keith Kwong Hon Wong. Владелец: International Business Machines Corp. Дата публикации: 2011-03-24.

METAL GATE AND HIGH-K DIELECTRIC DEVICES WITH PFET CHANNEL SiGe

Номер патента: US20120267685A1. Автор: Kangguo Cheng,Bruce B. Doris,Keith Kwong Hon Wong. Владелец: International Business Machines Corp. Дата публикации: 2012-10-25.

Metal gate and high-K dielectric devices with PFET channel SiGe

Номер патента: US8796773B2. Автор: Kangguo Cheng,Bruce B. Doris,Keith Kwong Hon Wong. Владелец: International Business Machines Corp. Дата публикации: 2014-08-05.

Method for making a complementary metal gate electrode technology

Номер патента: US6130123A. Автор: Gang Bai,Chunlin Liang. Владелец: Intel Corp. Дата публикации: 2000-10-10.

Non-volatile semiconductor memory device with alternative metal gate material

Номер патента: US20080217677A1. Автор: Sang-Hun Jeon,Chung-woo Kim,Jeong-hee Han. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2008-09-11.

Method of fabricating a gate dielectric layer for a thin film transistor

Номер патента: US20020090767A1. Автор: David Jones,Richard Bullock. Владелец: ESM Ltd. Дата публикации: 2002-07-11.

CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers

Номер патента: US6048769A. Автор: Robert S. Chau. Владелец: Intel Corp. Дата публикации: 2000-04-11.

Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same

Номер патента: US11849582B2. Автор: Li Hong XIAO. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-12-19.

Method and apparatus for suppressing metal-gate cross-diffusion in semiconductor technology

Номер патента: US20150054085A1. Автор: QI Lin,Yun Wu,Hong-Tsz Pan,Bang-Thu Nguyen. Владелец: Xilinx Inc. Дата публикации: 2015-02-26.

Thin film transistor with low trap-density material abutting a metal oxide active layer and the gate dielectric

Номер патента: US09911857B2. Автор: Chan-Long Shieh,Gang Yu,Fatt Foong. Владелец: CBRITE Inc. Дата публикации: 2018-03-06.

Metal-gate field effect transistor and method for manufacturing the same

Номер патента: US20010038136A1. Автор: Hitoshi Abiko. Владелец: NEC Corp. Дата публикации: 2001-11-08.

Field effect transistor having a gate dielectric with variable thickness

Номер патента: US5314834A. Автор: Marius K. Orlowski,Carlos A. Mazure. Владелец: Motorola Inc. Дата публикации: 1994-05-24.

Method of fabricating CMOS with different gate dielectric layers

Номер патента: US20020072168A1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-06-13.

Gate dielectric antifuse circuit to protect a high-voltage transistor

Номер патента: US20050029598A1. Автор: John Porter,Kenneth Marr. Владелец: Micron Technology Inc. Дата публикации: 2005-02-10.

Method of adjusting metal gate work function of NMOS device

Номер патента: US8298927B2. Автор: Qiuxia Xu,Gaobo Xu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2012-10-30.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: WO2003103032A2. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corporation. Дата публикации: 2003-12-11.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: EP1428252A2. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2004-06-16.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: EP1535316A1. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices Inc. Дата публикации: 2005-06-01.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: WO2004021424A1. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2004-03-11.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: AU2003270452A1. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-03-19.

Removing a high-k gate dielectric

Номер патента: US20060003499A1. Автор: Mark Doczy,Justin Brask,Suman Datta,Robert Norman,Robert Chau,Jack Kavalieros,Matthew Metz. Владелец: Intel Corp. Дата публикации: 2006-01-05.

High-k gate dielectric oxide

Номер патента: US20120015488A1. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Individual. Дата публикации: 2012-01-19.

SEMICONDUCTOR DEVICE WITH HIGH-K GATE DIELECTRIC LAYER

Номер патента: US20190378766A1. Автор: Li Yong. Владелец: . Дата публикации: 2019-12-12.

MOS transistor with high k gate dielectric

Номер патента: US20040135218A1. Автор: Zhizhang Chen,Hung Liao. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2004-07-15.

Preparation of stack high-K gate dielectrics with nitrided layer

Номер патента: US6790755B2. Автор: Joong Jeon. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-09-14.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US20050032318A1. Автор: Mark Doczy,Reza Arghavani,Robert Chau. Владелец: Robert Chau. Дата публикации: 2005-02-10.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US6689675B1. Автор: YING Zhou,Markus Kuhn,Christopher G. Parker. Владелец: Intel Corp. Дата публикации: 2004-02-10.

Method for forming high-k gate dielectric by annealing in high-pressure hydrogen ambient

Номер патента: KR100520433B1. Автор: 황현상. Владелец: 광주과학기술원. Дата публикации: 2005-10-11.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US6806146B1. Автор: YING Zhou,Justin K. Brask,Mark L. Doczy,John P. Barnak. Владелец: Intel Corp. Дата публикации: 2004-10-19.

Removing a high-k gate dielectric

Номер патента: US7575991B2. Автор: Justin K. Brask,Robert S. Chau,Suman Datta,Jack Kavalieros,Mark L. Doczy,Matthew Metz,Robert L. Norman. Владелец: Intel Corp. Дата публикации: 2009-08-18.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US20040180523A1. Автор: Mark Doczy,Justin Brask,Matthew Metz,Timothy Glassman. Владелец: Individual. Дата публикации: 2004-09-16.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: TWI324827B. Автор: Wieczorek Karsten,Radehaus Christian. Владелец: Advanced Micro Devices Inc. Дата публикации: 2010-05-11.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: TW200405574A. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-04-01.

A method for making a semiconductor device having a high-K gate dielectric

Номер патента: TW200407994A. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2004-05-16.

A method for making a semiconductor device having a high-K gate dielectric

Номер патента: TWI240315B. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2005-09-21.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: AU2003231821A1. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2003-12-19.

INTERFACIAL LAYER REGROWTH CONTROL IN HIGH-K GATE STRUCTURE FOR FIELD EFFECT TRANSISTOR

Номер патента: US20130187241A1. Автор: Mueller Markus,Boccardi Guillaume,Petry Jasmine. Владелец: NXP B.V.. Дата публикации: 2013-07-25.

SEMICONDUCTOR DEVICE HAVING HIGH-K GATE INSULATION FILMS AND FABRICATING METHOD THEREOF

Номер патента: US20150325670A1. Автор: Kim Young-Hun,Kim Ju-Youn. Владелец: . Дата публикации: 2015-11-12.

Method for forming a high-k gate stack with reduced effective oxide thickness

Номер патента: KR101639464B1. Автор: 로버트 디 클라크. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2016-07-13.

Formation of high-K gate stacks in semiconductor devices

Номер патента: CN101752237A. Автор: A·库利奥尼,C·A·皮涅多利,W·安得烈奥尼. Владелец: International Business Machines Corp. Дата публикации: 2010-06-23.

Fluorine plasma treatment for high-K gate stacks for defect passivation

Номер патента: JP5590886B2. Автор: フィリップ, エー. クラウス,. Владелец: Applied Materials Inc. Дата публикации: 2014-09-17.

Highly reliable amorphous high-k gate oxide ZrO2

Номер патента: US7259434B2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2007-08-21.

METHOD FOR FORMING A HIGH-k GATE STACK WITH REDUCED EFFECTIVE OXIDE THICKNESS

Номер патента: US20100248464A1. Автор: Robert D. Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-09-30.

Laser thermal annealing of high-k gate oxide layers

Номер патента: US6632729B1. Автор: Eric N. Paton. Владелец: Advanced Micro Devices Inc. Дата публикации: 2003-10-14.

Method for forming a high-k gate stack with reduced effective oxide thickness

Номер патента: WO2010111453A1. Автор: Robert D. Clark. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2010-09-30.

Ozone oxidation of silicon substrates for formation of an interfacial layer for high-k gate stacks

Номер патента: TW200414356A. Автор: Yoshihide Senzaki,Robert B Herring. Владелец: ASML US Inc. Дата публикации: 2004-08-01.

Methods of forming interfacial layers for high-k gates by ozone oxidation

Номер патента: WO2004012237A3. Автор: Yoshihide Senzaki,Robert Herring. Владелец: Aviza Technology. Дата публикации: 2004-09-10.

Methods of forming interfacial layers for high-k gates by ozone oxidation

Номер патента: AU2003265324A8. Автор: Yoshihide Senzaki,Robert Herring. Владелец: Aviza Technology Inc. Дата публикации: 2004-02-16.

Methods of forming interfacial layers for high-k gates by ozone oxidation

Номер патента: AU2003265324A1. Автор: Yoshihide Senzaki,Robert Herring. Владелец: ASML US Inc. Дата публикации: 2004-02-16.

Integrated circuit with multiple gate dielectric structures

Номер патента: US6087236A. Автор: Robert S. Chau,Reza Arghavani,Bruce Beattie. Владелец: Intel Corp. Дата публикации: 2000-07-11.

Method for manufacturing gate dielectric layer

Номер патента: US20060281251A1. Автор: Wen-Ji Chen,Tung-Po Chen,Kai-An Hsueh,Sheng-Hone Zheng. Владелец: Individual. Дата публикации: 2006-12-14.

METHOD OF FABRICATING DUAL HIGH-K METAL GATES FOR MOS DEVICES

Номер патента: US20150021705A1. Автор: Lin Kang-Cheng,Huang Kuo-Tai,Hsu Peng-Fu. Владелец: . Дата публикации: 2015-01-22.

SEMICONDUCTOR DEVICE HAVING A HIGH-K GATE DIELECTRIC LAYER

Номер патента: US20140175569A1. Автор: Joo Dae-Kwon,HONG KYUNGIL,LIM Hajin,Do Jinho,Song Moonkyun,KIM WeonHong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-06-26.

SUPERIOR INTEGRITY OF A HIGH-K GATE STACK BY FORMING A CONTROLLED UNDERCUT ON THE BASIS OF A WET CHEMISTRY

Номер патента: US20150137270A1. Автор: Beyer Sven,Reimer Berthold,Graetsch Falk. Владелец: . Дата публикации: 2015-05-21.

Semiconductor device having high-k gate dielectric above an sti region

Номер патента: US20150187765A1. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2015-07-02.

Semiconductor device having a high-K gate dielectric above an STI region

Номер патента: US09659928B2. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2017-05-23.

Semiconductor device having high-k gate dielectric above an sti region

Номер патента: US20150187765A1. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2015-07-02.

Method for self-aligned removal of a high-k gate dielectric above an sti region

Номер патента: US20090057813A1. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2009-03-05.

SEMICONDUCTOR DEVICE HAVING HIGH-K GATE INSULATION FILMS AND FABRICATING METHOD THEREOF

Номер патента: US20130299912A1. Автор: Kim Young-Hun,Kim Ju-Youn. Владелец: . Дата публикации: 2013-11-14.

HIGH-K GATE DIELECTRICS ON 2D SUBSTRATES, INERT SURFACES, AND 3D MATERIALS

Номер патента: US20180158670A1. Автор: Kwak Iljo,Sardashti Kasra,Kummel Andrew. Владелец: . Дата публикации: 2018-06-07.

ZrXHfYSn1-X-YO2 FILMS AS HIGH K GATE DIELECTRICS

Номер патента: US20110121378A1. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Individual. Дата публикации: 2011-05-26.

Highly reliable amorphous high-k gate dielectric ZrOXNY

Номер патента: US6767795B2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2004-07-27.

A selective etch process for making a semiconductor device having a high-k gate dielectric

Номер патента: TWI239563B. Автор: Shah Uday,Mark Doczy,Justin Brask,Robert Chau,Jack Kavalieros. Владелец: Intel Corp. Дата публикации: 2005-09-11.

Seed layer processes for MOCVD of ferroelectric thin films on high-k gate oxides

Номер патента: US20030109069A1. Автор: Tingkai Li,Sheng Hsu. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2003-06-12.

Seed layer processes for MOCVD of ferroelectric thin films on high-k gate oxides

Номер патента: TW200300966A. Автор: Ting-Kai Li,Sheng-Teng Hsu. Владелец: Sharp Kk. Дата публикации: 2003-06-16.

Seed layer processes for MOCVD of ferroelectric thin films on high-k gate oxides

Номер патента: TW567541B. Автор: Tingkai Li,Sheng Teng Hsu. Владелец: Sharp Kk. Дата публикации: 2003-12-21.

Seed layer processes for mocvd of ferroelectric thin films on high-K gate oxides

Номер патента: EP1320125B1. Автор: Tingkai Li,Sheng Teng Hsu. Владелец: Sharp Corp. Дата публикации: 2006-04-26.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: EP3692576A1. Автор: Krishnaswamy Ramkumar. Владелец: Longitude Flash Memory Solutions Ltd. Дата публикации: 2020-08-12.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: WO2019070383A1. Автор: Krishnaswamy Ramkumar. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2019-04-11.

Metal gate structure and method of manufacturing same

Номер патента: WO2009142982A3. Автор: Willy Rachmady,Jason Klaus,Soley Ozer. Владелец: Intel Corporation. Дата публикации: 2010-03-04.

Method of making a shallow trench isolation with thin nitride as gate dielectric

Номер патента: US6040233A. Автор: Robert Louis Hodges. Владелец: STMicroelectronics lnc USA. Дата публикации: 2000-03-21.

High dielectric constant metal oxide gate dielectrics

Номер патента: US6998357B2. Автор: Peng Cheng,Brian S. Doyle,David B. Fraser,Gang Bai,Chunlin Liang. Владелец: Intel Corp. Дата публикации: 2006-02-14.

Metal gate stack with etch stop layer having implanted metal species

Номер патента: US20020132415A1. Автор: Srikanteswara Dakshina-Murthy,Paul Besser. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-09-19.

PMOS high-k metal gates

Номер патента: US12051734B2. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C. H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2024-07-30.

Pmos high-k metal gates

Номер патента: US20230097400A1. Автор: Yong Yang,Srinivas Gandikota,Mandyam Sriram,Jacqueline S. Wrench,Yixiong Yang,Steven C.H. Hung. Владелец: Applied Materials Inc. Дата публикации: 2023-03-30.

CMOS Transistor With Dual High-k Gate Dielectric

Номер патента: US20130285154A1. Автор: Li Hong-Jyh. Владелец: . Дата публикации: 2013-10-31.

Integration of high k gate dielectric

Номер патента: US7790556B2. Автор: Michael E. Givens,Michael A. Todd,Eric J. Shero,Christophe F. Pomarede. Владелец: ASM America Inc. Дата публикации: 2010-09-07.

Integration of High K Gate Dielectric

Номер патента: US20020173130A1. Автор: Eric Shero,Michael Givens,Michael Todd,Christophe Pomerede. Владелец: Individual. Дата публикации: 2002-11-21.

Semiconductor device with composite gate dielectric and method for preparing the same

Номер патента: US12150290B2. Автор: Li-Han Lu. Владелец: Nanya Technology Corp. Дата публикации: 2024-11-19.

Nonvolatile storage with gap in inter-gate dielectric

Номер патента: WO2016186910A1. Автор: Takashi Kashimura,Sayako Nagamine. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-11-24.

Capacitors Integrated with Metal Gate Formation

Номер патента: US20110309420A1. Автор: Chung-Long Chang,Chia-Yi Chen,David Ding-Chung Lu,I-Lu Wu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-12-22.

Method for fabricating a gate dielectric layer and for fabricating a gate structure

Номер патента: US20120276731A1. Автор: Yi Nan Chen,Hsien Wen Liu,Kuo Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

Apparatus and method for manufacturing metal gate structures

Номер патента: US20240337012A1. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-10.

Apparatus and method for manufacturing metal gate structures

Номер патента: US12054823B2. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-06.

Damage free gate dielectric process during gate electrode plasma etching

Номер патента: US5843835A. Автор: Ming-Hsi Liu. Владелец: Winbond Electronics Corp. Дата публикации: 1998-12-01.

Einrichtung und verfahren zur herstellung von metall-gate-strukturen

Номер патента: DE102021105579A1. Автор: Chen-Yu LEE,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-03-17.

Manufacturing method for integrating gate dielectric layers of different thicknesses

Номер патента: US11961740B2. Автор: LIAN Lu,Yizheng Zhu,Xiangguo Meng. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-04-16.

Metal gate MOSFET terahertz detector based on periodically rasterized drain

Номер патента: LU101403B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Metal gate MOSFET terahertz detector based on aperiodically rasterized gate

Номер патента: LU101404B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

Metamaterial based metal gate mosfet detector with gate rasterized

Номер патента: US20200203550A1. Автор: Shaohua Zhou,Jianguo Ma. Владелец: GUANGDONG UNIVERSITY OF TECHNOLOGY. Дата публикации: 2020-06-25.

Uv crosslinking of pvdf-based polymers for gate dielectric insulators of organic thin-film transistors

Номер патента: US20210226142A1. Автор: Yang Li,Xin Li,Mingqian He,Hongxiang Wang. Владелец: Corning Inc. Дата публикации: 2021-07-22.

Metal gate MOSFET terahertz detector based on aperiodically rasterized drain

Номер патента: LU101401B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

High-k gate dielectrics prepared by liquid phase anodic oxidation

Номер патента: US6887310B2. Автор: Jenn-Gwo Hwu,Szu-Wei Huang,Yen-Po Lin. Владелец: National Taiwan University NTU. Дата публикации: 2005-05-03.

Automatic switch for single- or split-phase AC electrical power

Номер патента: US20210066922A1. Автор: Mitchell J. Boyer. Владелец: A M Solar Inc. Дата публикации: 2021-03-04.

Modular bus with single or double parallel termination

Номер патента: US5578940A. Автор: Alfredo Moncayo,John B. Dillon,Srinivas Nimmagadda. Владелец: RAMBUS INC. Дата публикации: 1996-11-26.

Method for dynamic configuration of a PCIE slot device for single or multi root ability

Номер патента: US09792240B2. Автор: Cyril Jose,Darrell D. Rosser. Владелец: Dell Products LP. Дата публикации: 2017-10-17.

Dual metal adapter

Номер патента: EP4072770A1. Автор: Matthew Honickman,Ryan LOAR. Владелец: Koch Engineered Solutions LLC. Дата публикации: 2022-10-19.

Dual metal adapter

Номер патента: WO2021118765A1. Автор: Matthew Honickman,Ryan LOAR. Владелец: LCDRIVES CORP.. Дата публикации: 2021-06-17.

System and method for avoiding leakage in endotracheal tube with single or double cuff

Номер патента: EP4452376A1. Автор: Kristof Braem. Владелец: Medical Technology For Life. Дата публикации: 2024-10-30.

Dual metal optical discs

Номер патента: US20100183895A1. Автор: Holger Hofmann,Justin John Cunningham,Ibsen Lourenco. Владелец: Ibsen Lourenco. Дата публикации: 2010-07-22.

Method for non-contact stress evaluation of wafer gate dielectric reliability

Номер патента: US20020070675A1. Автор: Eduard Cartier,Wagdi Abadeer,James Stathis. Владелец: Individual. Дата публикации: 2002-06-13.

Metal gate MOSFET terahertz detector based on periodically rasterized gate

Номер патента: LU101402B1. Автор: Shaohua Zhou,Jianguo Ma,Jiangtao Xu. Владелец: Univ Tianjin. Дата публикации: 2020-01-20.

System and method for avoiding leakage in endotracheal tube with single or double cuff

Номер патента: WO2023118494A1. Автор: Kristof Braem. Владелец: Medical Technology For Life. Дата публикации: 2023-06-29.

Radio frequency identification device implemented with a metal-gate semiconductor fabrication process

Номер патента: US20050263603A1. Автор: Ying Wu,Wen Lee,Che Wu. Владелец: G-Time Electronic Co Ltd. Дата публикации: 2005-12-01.

Smoking article with single radially separated heat conducting element

Номер патента: RU2671756C2. Автор: Олег МИРОНОВ. Владелец: ФИЛИП МОРРИС ПРОДАКТС С.А.. Дата публикации: 2018-11-06.

Aerosol can of disposable action with single spray opening

Номер патента: RU2678687C2. Автор: Ёке Эн Он. Владелец: Ориентус Индастри Эсдиэн. Биэйчди.. Дата публикации: 2019-01-30.

High-k metal gate device structure for human blood gas sensing

Номер патента: US20140300340A1. Автор: Chen Shi,Yanfeng Wang,Sufi Zafar,Steven E. Steen. Владелец: International Business Machines Corp. Дата публикации: 2014-10-09.

High-k metal gate device structure for human blood gas sensing

Номер патента: US20140299922A1. Автор: Chen Shi,Yanfeng Wang,Sufi Zafar,Steven E. Steen. Владелец: International Business Machines Corp. Дата публикации: 2014-10-09.

Replacement Gate Approach for High-K Metal Gate Stacks Based on a Non-Conformal Interlayer Dielectric

Номер патента: US20120001263A1. Автор: Richter Ralf,Frohberg Kai. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

METHOD FOR MANUFACTURING METAL GATE STACK STRUCTURE IN GATE-FIRST PROCESS

Номер патента: US20120003827A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR REMOVING POLYMER AFTER ETCHING GATE STACK STRUCTURE OF HIGH-K GATE DIELECTRIC/METAL GATE

Номер патента: US20120115321A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-05-10.

CMOS Transistor With Dual High-k Gate Dielectric and Method of Manufacture Thereof

Номер патента: US20120193725A1. Автор: . Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-08-02.

CMOS Transistor With Dual High-k Gate Dielectric

Номер патента: US20120199914A1. Автор: . Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-08-09.

METHOD OF FABRICATING DUAL HIGH-K METAL GATE FOR MOS DEVICES

Номер патента: US20120086085A1. Автор: Lin Kang-Cheng,Huang Kuo-Tai,Hsu Peng-Fu. Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-04-12.

SELF-ALIGNED CONTACT COMBINED WITH A REPLACEMENT METAL GATE/HIGH-K GATE DIELECTRIC

Номер патента: US20120139062A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-06-07.

HIGH-K GATE DIELECTRIC MATERIAL AND METHOD FOR PREPARING THE SAME

Номер патента: US20120261803A1. Автор: Zhao Chao,Chen Dapeng,Wang Wenwu,Han Kai. Владелец: . Дата публикации: 2012-10-18.

Reliability of high-K gate dielectric layers

Номер патента: US20120286372A1. Автор: . Владелец: . Дата публикации: 2012-11-15.

DIFFUSED CAP LAYERS FOR MODIFYING HIGH-K GATE DIELECTRICS AND INTERFACE LAYERS

Номер патента: US20130052814A1. Автор: Clark Robert D.. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-02-28.

PASSIVATING POINT DEFECTS IN HIGH-K GATE DIELECTRIC LAYERS DURING GATE STACK FORMATION

Номер патента: US20130267086A1. Автор: Trentzsch Martin,Carter Richard J.,Erben Elke. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-10.

MODIFIED HIGH-K GATE DIELECTRIC STACK

Номер патента: US20130328137A1. Автор: HEGDE RAMA I.. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2013-12-12.

SCAVENGING METAL STACK FOR A HIGH-K GATE DIELECTRIC

Номер патента: US20140001573A1. Автор: Ando Takashi,Kwon Unoh,Narayanan Vijay,Schaeffer James K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-01-02.

SCAVENGING METAL STACK FOR A HIGH-K GATE DIELECTRIC

Номер патента: US20140004695A1. Автор: Ando Takashi,Kwon Unoh,Narayanan Vijay,Schaeffer James K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-01-02.

Superior Integrity of a High-K Gate Stack by Forming a Controlled Undercut on the Basis of a Wet Chemistry

Номер патента: US20120086056A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-04-12.

INVERSION THICKNESS REDUCTION IN HIGH-K GATE STACKS FORMED BY REPLACEMENT GATE PROCESSES

Номер патента: US20120280288A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-08.

System and method for avoiding leakage in endotracheal tube with single or double cuff

Номер патента: AU2022422318A1. Автор: Kristof Braem. Владелец: Medical Technology For Life. Дата публикации: 2024-07-25.

Improvements in Metal Gates for use on Railway Cars, Boats, Elevators, Railway Stations and other Places.

Номер патента: GB190108884A. Автор: Achille Francois Bataille. Владелец: Individual. Дата публикации: 1901-06-15.

Hot metal gate

Номер патента: CA1203979A. Автор: Micheal D. Labate. Владелец: Individual. Дата публикации: 1986-05-06.