Removing a high-k gate dielectric

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Removing a high-k gate dielectric

Номер патента: US20060003499A1. Автор: Mark Doczy,Justin Brask,Suman Datta,Robert Norman,Robert Chau,Jack Kavalieros,Matthew Metz. Владелец: Intel Corp. Дата публикации: 2006-01-05.

High k gate insulator removal

Номер патента: US20040203246A1. Автор: Venkatesh Gopinath,Arvind Kamath,Wai Lo. Владелец: Individual. Дата публикации: 2004-10-14.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: WO2004084311A1. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corporation. Дата публикации: 2004-09-30.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: EP1604405A1. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corp. Дата публикации: 2005-12-14.

Formation of high-K gate stacks in semiconductor devices

Номер патента: CN101752237A. Автор: A·库利奥尼,C·A·皮涅多利,W·安得烈奥尼. Владелец: International Business Machines Corp. Дата публикации: 2010-06-23.

Etching process for high-k gate dielectrics

Номер патента: US20050042859A1. Автор: Yuan-Hung Chiu,Mo-Chiun Yu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-02-24.

Method of patterning gate electrodes with high k gate dielectrics

Номер патента: TW533484B. Автор: Xia Li,James Yong Meng Lee,Yun-Qiang Zhang. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2003-05-21.

Method of pattening gate electrodes with high k gate dielectrics

Номер патента: SG98448A1. Автор: Xia Li,Lee Yong Meng James,Qiang Zhang Yun. Владелец: Chartered Semiconductor Mfg. Дата публикации: 2003-09-19.

HIGH-K GATE INSULATOR FOR A THIN-FILM TRANSISTOR

Номер патента: US20190206691A1. Автор: CHOI Soo Young,Rui Xiangxin,Yim Dong-Kil,ZHAI Yujia,ZHAO Lai. Владелец: . Дата публикации: 2019-07-04.

Fluorine plasma treatment for high-K gate stacks for defect passivation

Номер патента: JP5590886B2. Автор: フィリップ, エー. クラウス,. Владелец: Applied Materials Inc. Дата публикации: 2014-09-17.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: TWI230434B. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corp. Дата публикации: 2005-04-01.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: TW200425392A. Автор: Mark Doczy,Justin Brask,Robert Chau,John Barnak. Владелец: Intel Corp. Дата публикации: 2004-11-16.

High-k gate dielectric oxide

Номер патента: US20120015488A1. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Individual. Дата публикации: 2012-01-19.

Method for forming high-k gate dielectric by annealing in high-pressure hydrogen ambient

Номер патента: KR100520433B1. Автор: 황현상. Владелец: 광주과학기술원. Дата публикации: 2005-10-11.

Highly reliable amorphous high-k gate oxide ZrO2

Номер патента: US7259434B2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2007-08-21.

Interfacial layer regrowth control in high-k gate structure for field effect transistor

Номер патента: EP2294609A1. Автор: Markus Mueller,Jasmine Petry,Guillaume Boccardi. Владелец: NXP BV. Дата публикации: 2011-03-16.

Method of removing dummy gate dielectric layer

Номер патента: US09570582B1. Автор: Bin Zhong,YU Bao,Jun Zhou,Haifeng Zhou,Xiaoqiang Zhou. Владелец: Shanghai Huali Microelectronics Corp. Дата публикации: 2017-02-14.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US20050032318A1. Автор: Mark Doczy,Reza Arghavani,Robert Chau. Владелец: Robert Chau. Дата публикации: 2005-02-10.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US20040180523A1. Автор: Mark Doczy,Justin Brask,Matthew Metz,Timothy Glassman. Владелец: Individual. Дата публикации: 2004-09-16.

High k dielectric material and method of making a high k dielectric material

Номер патента: US20030224218A1. Автор: Martin Green,Lalita Manchanda. Владелец: Individual. Дата публикации: 2003-12-04.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: TWI324827B. Автор: Wieczorek Karsten,Radehaus Christian. Владелец: Advanced Micro Devices Inc. Дата публикации: 2010-05-11.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: TW200405574A. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-04-01.

High-K gate dielectric with work function adjustment metal layer

Номер патента: US8860143B2. Автор: Jing Wang,Jun Xu,Mei Zhao,Renrong Liang. Владелец: TSINGHUA UNIVERSITY. Дата публикации: 2014-10-14.

A method and system for forming a feature in a high-k layer

Номер патента: TW200629542A. Автор: Lee Chen,Akiteru Koh,Annie Xia. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-08-16.

High-k gate insulator for a thin-film transistor

Номер патента: WO2019135832A1. Автор: Soo Young Choi,Dong-Kil Yim,Lai ZHAO,Xiangxin Rui,Yujia ZHAI. Владелец: Applied Materials, Inc.. Дата публикации: 2019-07-11.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: EP3692576A1. Автор: Krishnaswamy Ramkumar. Владелец: Longitude Flash Memory Solutions Ltd. Дата публикации: 2020-08-12.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: WO2019070383A1. Автор: Krishnaswamy Ramkumar. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2019-04-11.

Method for fabricating gate dielectrics of metal-oxide-semiconductor transistors using rapid thermal processing

Номер патента: US20090108377A1. Автор: Zhi Chen,Jun Guo. Владелец: Individual. Дата публикации: 2009-04-30.

High-K gate dielectric

Номер патента: US11862706B2. Автор: Yu-Kuan Lin,Chia-Hao Pao,Chih-Hsuan CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-02.

High-k gate dielectric

Номер патента: US20240154019A1. Автор: Yu-Kuan Lin,Chia-Hao Pao,Chih-Hsuan CHEN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-05-09.

Semiconductor device and method for high-k gate dielectrics

Номер патента: US7355235B2. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2008-04-08.

Passivating point defects in high-k gate dielectric layers during gate stack formation

Номер патента: SG193698A1. Автор: Trentzsch Martin,Erben Elke,j carter Richard. Владелец: Globalfoundries Inc. Дата публикации: 2013-10-30.

Seed layer processes for MOCVD of ferroelectric thin films on high-k gate oxides

Номер патента: US20030109069A1. Автор: Tingkai Li,Sheng Hsu. Владелец: Sharp Laboratories of America Inc. Дата публикации: 2003-06-12.

Vertical metal insulator metal capacitor having a high-k dielectric material

Номер патента: US09911732B2. Автор: Tien-I Bao,Chewn-Pu Jou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-03-06.

Verfahren zum Herstellen eines Transistors mit einem high-k-Gate-Dielektrikum

Номер патента: DE102005016925B4. Автор: Harald Seidl,Martin Ulrich Dr. Gutsche. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2008-09-04.

Method of forming a high-k gate dielectric layer

Номер патента: US20110006375A1. Автор: Manfred Ramin,Husam Alshareef,Michael F. Pas. Владелец: Texas Instruments Inc. Дата публикации: 2011-01-13.

Work function adjustment in high-k gate stacks for devices of different threshold voltage

Номер патента: US8357604B2. Автор: Jan Hoentschel,Sven Beyer,Thilo Scheiper. Владелец: Globalfoundries Inc. Дата публикации: 2013-01-22.

Work function adjustment in high-k gate stacks for devices of different threshold voltage

Номер патента: US20110127616A1. Автор: Jan Hoentschel,Sven Beyer,Thilo Scheiper. Владелец: Individual. Дата публикации: 2011-06-02.

Controlled doping in a gate dielectric layer

Номер патента: US11777014B2. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-10-03.

Controlled doping in a gate dielectric layer

Номер патента: US20230378329A1. Автор: Shahaji B. More,Chandrashekhar Prakash SAVANT. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

EMBEDDED SONOS WITH A HIGH-K METAL GATE AND MANUFACTURING METHODS OF THE SAME

Номер патента: US20190371806A1. Автор: Ramkumar Krishnaswamy. Владелец: . Дата публикации: 2019-12-05.

Embedded sonos with a high-k metal gate and manufacturing methods of the same

Номер патента: EP3692576A4. Автор: Krishnaswamy Ramkumar. Владелец: Longitude Flash Memory Solutions Ltd. Дата публикации: 2021-08-18.

Method and system for forming a high-k dielectric layer

Номер патента: US20060228898A1. Автор: Gerrit Leusink,Masanobu Igeta,Cory Wajda. Владелец: Tokyo Electron Ltd. Дата публикации: 2006-10-12.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US6806146B1. Автор: YING Zhou,Justin K. Brask,Mark L. Doczy,John P. Barnak. Владелец: Intel Corp. Дата публикации: 2004-10-19.

Method for forming a high-k gate stack with reduced effective oxide thickness

Номер патента: KR101639464B1. Автор: 로버트 디 클라크. Владелец: 도쿄엘렉트론가부시키가이샤. Дата публикации: 2016-07-13.

METHOD FOR FORMING A HIGH-k GATE STACK WITH REDUCED EFFECTIVE OXIDE THICKNESS

Номер патента: US20100248464A1. Автор: Robert D. Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2010-09-30.

Method for forming a high-k gate stack with reduced effective oxide thickness

Номер патента: WO2010111453A1. Автор: Robert D. Clark. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2010-09-30.

CMOS Transistor With Dual High-k Gate Dielectric

Номер патента: US20140315362A1. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2014-10-23.

CMOS transistor with double high-k gate dielectric and associated manufacturing method

Номер патента: DE102005024417A1. Автор: Hong-Jyh Austin Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-02-09.

CMOS Transistor with dual high-k gate dielectric

Номер патента: US9269635B2. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2016-02-23.

CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof

Номер патента: TW200707651A. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2007-02-16.

SEMICONDUCTOR DEVICE HAVING HIGH-K GATE INSULATION FILMS AND FABRICATING METHOD THEREOF

Номер патента: US20150325670A1. Автор: Kim Young-Hun,Kim Ju-Youn. Владелец: . Дата публикации: 2015-11-12.

Method of forming a semiconductor device having a high-k dielectric

Номер патента: WO2006112948A1. Автор: Olubunmi O. Adetutu,David C. Gilmer,Hsing H. Tseng. Владелец: FREESCALE SEMICONDUCTOR. Дата публикации: 2006-10-26.

Method of forming a semiconductor device having a high-k dielectric

Номер патента: TW200703459A. Автор: Olubunmi O Adetutu,David C Gilmer,Hsing H Tseng. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-01-16.

Method of forming high-K gate electrode structures after transistor fabrication

Номер патента: GB2468445A. Автор: Andy Wei,Andrew M Waite. Владелец: Advanced Micro Devices Inc. Дата публикации: 2010-09-08.

Method to improve the quality of a high-k dielectric layer

Номер патента: EP3316289B1. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2021-09-08.

Method of forming high-K gate electrode structures after transistor fabrication

Номер патента: GB201010321D0. Автор: . Владелец: Advanced Micro Devices Inc. Дата публикации: 2010-08-04.

Semiconductor devices comprising nitrogen-doped gate dielectric

Номер патента: US09922885B1. Автор: Yoshikazu Moriwaki. Владелец: Micron Technology Inc. Дата публикации: 2018-03-20.

Transistor with doped gate dielectric

Номер патента: EP1711959A1. Автор: Hong-Jyh Li. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2006-10-18.

Preparation of stack high-K gate dielectrics with nitrided layer

Номер патента: US6790755B2. Автор: Joong Jeon. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-09-14.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20150187586A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2015-07-02.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US20170263458A1. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2017-09-14.

Method for fabricating a metal high-k gate stack for a buried recessed access device

Номер патента: US9337042B2. Автор: Mark Fischer,Satoru Mayuzumi,Michael Violette. Владелец: Sony Corp. Дата публикации: 2016-05-10.

Integrated Circuits with Doped Gate Dielectrics

Номер патента: US20200126789A1. Автор: Chung-Liang Cheng,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-04-23.

Integrated Circuits with Doped Gate Dielectrics

Номер патента: US20210175076A1. Автор: Chung-Liang Cheng,Yen-Yu Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-06-10.

LATERAL OXIDATION OF NFET HIGH-K GATE STACKS

Номер патента: US20150318177A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2015-11-05.

Method of lateral oxidation of NFET and PFET high-k gate stacks

Номер патента: US09941128B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-04-10.

Method of lateral oxidation of NFET and PFET high-K gate stacks

Номер патента: US09466492B2. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-10-11.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20160365252A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2016-12-15.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20180174847A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-06-21.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20190267243A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2019-08-29.

Semiconductor device having high-k gate insulation films and fabricating method thereof

Номер патента: US20150325670A1. Автор: Young-hun Kim,Ju-youn Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-11-12.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: WO2003103032A2. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corporation. Дата публикации: 2003-12-11.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: EP1428252A2. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2004-06-16.

Scavenging metal stack for a high-k gate dielectric

Номер патента: US7989902B2. Автор: Takashi Ando,Changhwan Choi,Martin M. Frank,Vijay Narayanan. Владелец: International Business Machines Corp. Дата публикации: 2011-08-02.

Method for making a semiconductor device having a high-k gate dielectric

Номер патента: US6689675B1. Автор: YING Zhou,Markus Kuhn,Christopher G. Parker. Владелец: Intel Corp. Дата публикации: 2004-02-10.

Semiconductor device having a high-K gate dielectric layer

Номер патента: US8912611B2. Автор: Hajin LIM,Jinho Do,Weonhong Kim,Moonkyun Song,Dae-Kwon Joo,Kyungil Hong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-12-16.

A selective etch process for making a semiconductor device having a high-k gate dielectric

Номер патента: TWI239563B. Автор: Shah Uday,Mark Doczy,Justin Brask,Robert Chau,Jack Kavalieros. Владелец: Intel Corp. Дата публикации: 2005-09-11.

A method for making a semiconductor device having a high-K gate dielectric

Номер патента: TW200407994A. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2004-05-16.

A method for making a semiconductor device having a high-K gate dielectric

Номер патента: TWI240315B. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2005-09-21.

A method for making a semiconductor device having a high-k gate dielectric

Номер патента: AU2003231821A1. Автор: Reza Arghavani,Robert Chau. Владелец: Intel Corp. Дата публикации: 2003-12-19.

High-K Gate Dielectric and Method Forming Same

Номер патента: US20240297084A1. Автор: Chi On Chui,Che-Hao Chang,Cheng-Hao Hou,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-05.

High-k gate dielectric and method forming same

Номер патента: US12020991B2. Автор: Chi On Chui,Che-Hao Chang,Cheng-Hao Hou,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-25.

CMOS Circuits with High-K Gate Dielectric

Номер патента: US20080272438A1. Автор: Bruce B. Doris,Vijay Narayanan,Charlotte DeWan Adams,Eduard Albert Cartier. Владелец: International Business Machines Corp. Дата публикации: 2008-11-06.

HIGH-K GATE DIELECTRIC

Номер патента: US20220293767A1. Автор: Lin Yu-Kuan,Pao Chia-Hao,Chen Chih-Hsuan. Владелец: . Дата публикации: 2022-09-15.

HIGH-K GATE DIELECTRICS ON 2D SUBSTRATES, INERT SURFACES, AND 3D MATERIALS

Номер патента: US20180158670A1. Автор: Kwak Iljo,Sardashti Kasra,Kummel Andrew. Владелец: . Дата публикации: 2018-06-07.

HIGH-K GATE DIELECTRIC

Номер патента: US20210391439A1. Автор: Lin Yu-Kuan,Pao Chia-Hao,Chen Chih-Hsuan. Владелец: . Дата публикации: 2021-12-16.

ZrXHfYSn1-X-YO2 FILMS AS HIGH K GATE DIELECTRICS

Номер патента: US20110121378A1. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Individual. Дата публикации: 2011-05-26.

Highly reliable amorphous high-k gate dielectric ZrOXNY

Номер патента: US6767795B2. Автор: Leonard Forbes,Kie Y. Ahn. Владелец: Micron Technology Inc. Дата публикации: 2004-07-27.

Semiconductor device for high-k gate dielectrics and fabrication method thereof

Номер патента: TWI278039B. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2007-04-01.

High-K gate dielectric defect gettering using dopants

Номер патента: US20040127000A1. Автор: Luigi Colombo,James Chambers,Antonio Rotondaro. Владелец: Texas Instruments Inc. Дата публикации: 2004-07-01.

Hybrid gate last integration scheme for multi-layer high-k gate stacks

Номер патента: WO2014062377A2. Автор: Robert D. Clark. Владелец: Tokyo Electron U.S. Holdings, Inc.. Дата публикации: 2014-04-24.

Methods of forming interfacial layers for high-k gates by ozone oxidation

Номер патента: WO2004012237A3. Автор: Yoshihide Senzaki,Robert Herring. Владелец: Aviza Technology. Дата публикации: 2004-09-10.

EMBEDDED SONOS WITH A HIGH-K METAL GATE AND MANUFACTURING METHODS OF THE SAME

Номер патента: US20190103414A1. Автор: Ramkumar Krishnaswamy. Владелец: CYPRESS SEMICONDUCTOR CORPORATION. Дата публикации: 2019-04-04.

Vertical Metal Insulator Metal Capacitor Having a High-K Dielectric Material

Номер патента: US20170373056A1. Автор: JOU Chewn-PU,BAO Tien-I. Владелец: . Дата публикации: 2017-12-28.

Vertical metal insulator metal capacitor having a high-K dielectric material

Номер патента: US9793264B1. Автор: Tien-I Bao,Chewn-Pu Jou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-17.

HYBRID GATE LAST INTEGRATION SCHEME FOR MULTI-LAYER HIGH-k GATE STACKS

Номер патента: US20140110791A1. Автор: Robert D. Clark. Владелец: Tokyo Electron Ltd. Дата публикации: 2014-04-24.

Seed layer processes for MOCVD of ferroelectric thin films on high-k gate oxides

Номер патента: TW567541B. Автор: Tingkai Li,Sheng Teng Hsu. Владелец: Sharp Kk. Дата публикации: 2003-12-21.

Seed layer processes for mocvd of ferroelectric thin films on high-K gate oxides

Номер патента: EP1320125B1. Автор: Tingkai Li,Sheng Teng Hsu. Владелец: Sharp Corp. Дата публикации: 2006-04-26.

Ozone oxidation of silicon substrates for formation of an interfacial layer for high-k gate stacks

Номер патента: TW200414356A. Автор: Yoshihide Senzaki,Robert B Herring. Владелец: ASML US Inc. Дата публикации: 2004-08-01.

Methods of forming interfacial layers for high-k gates by ozone oxidation

Номер патента: AU2003265324A8. Автор: Yoshihide Senzaki,Robert Herring. Владелец: Aviza Technology Inc. Дата публикации: 2004-02-16.

Methods of forming interfacial layers for high-k gates by ozone oxidation

Номер патента: AU2003265324A1. Автор: Yoshihide Senzaki,Robert Herring. Владелец: ASML US Inc. Дата публикации: 2004-02-16.

Laser thermal annealing of high-k gate oxide layers

Номер патента: US6632729B1. Автор: Eric N. Paton. Владелец: Advanced Micro Devices Inc. Дата публикации: 2003-10-14.

Seed layer processes for MOCVD of ferroelectric thin films on high-k gate oxides

Номер патента: TW200300966A. Автор: Ting-Kai Li,Sheng-Teng Hsu. Владелец: Sharp Kk. Дата публикации: 2003-06-16.

Gate Dielectric Of Semiconductor Device

Номер патента: US20140091400A1. Автор: Kuang-Yuan Hsu,Da-Yuan Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-04-03.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: EP1535316A1. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices Inc. Дата публикации: 2005-06-01.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: WO2004021424A1. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices, Inc.. Дата публикации: 2004-03-11.

Transistor element having an anisotropic high-k gate dielectric

Номер патента: AU2003270452A1. Автор: Karsten Wieczorek,Christian Radehaus. Владелец: Advanced Micro Devices Inc. Дата публикации: 2004-03-19.

MOS transistor with high k gate dielectric

Номер патента: US20040135218A1. Автор: Zhizhang Chen,Hung Liao. Владелец: Hewlett Packard Development Co LP. Дата публикации: 2004-07-15.

High-k gate dielectric and method of manufacture

Номер патента: US8294201B2. Автор: Chen-Hua Yu,Liang-Gi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2012-10-23.

High-k gate dielectric and method of manufacture

Номер патента: CN101364540A. Автор: 余振华,姚亮吉. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-02-11.

High-K Gate Dielectric and Method of Manufacture

Номер патента: US20090042381A1. Автор: Chen-Hua Yu,Liang-Gi Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2009-02-12.

Fin field-effect transistor (finfet) with a high-k material field-plating

Номер патента: US20230067590A1. Автор: Ming-Yeh Chuang,Umamaheswari Aghoram. Владелец: Texas Instruments Inc. Дата публикации: 2023-03-02.

Method of forming an ultrathin gate dielectric

Номер патента: US6074919A. Автор: Mark I. Gardner,Thien T. Nguyen. Владелец: Advanced Micro Devices Inc. Дата публикации: 2000-06-13.

Damage free gate dielectric process during gate electrode plasma etching

Номер патента: US5843835A. Автор: Ming-Hsi Liu. Владелец: Winbond Electronics Corp. Дата публикации: 1998-12-01.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US20220254627A1. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2022-08-11.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US11935740B2. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2024-03-19.

Dual gate dielectric layers grown with an inhibitor layer

Номер патента: US20210305042A1. Автор: Damien Thomas Gilmore,Mark Francis Arendt. Владелец: Texas Instruments Inc. Дата публикации: 2021-09-30.

Semiconductor device having cut gate dielectric

Номер патента: US20240213097A1. Автор: Chang-Yun Chang,Ming-Chang Wen,Bone-Fong Wu,Ya-Hsiu LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-06-27.

Semiconductor device having cut gate dielectric

Номер патента: US20220359302A1. Автор: Chang-Yun Chang,Ming-Chang Wen,Bone-Fong Wu,Ya-Hsiu LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Semiconductor device having cut gate dielectric

Номер патента: US11901237B2. Автор: Chang-Yun Chang,Ming-Chang Wen,Bone-Fong Wu,Ya-Hsiu LIN. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-02-13.

Tri-gate integration with embedded floating body memory cell using a high-k dual metal gate

Номер патента: US20090017589A1. Автор: Ibrahim Ban,Peter L.D. Chang. Владелец: Individual. Дата публикации: 2009-01-15.

Improving the reliability of high-k gate dielectric layers

Номер патента: TW200849412A. Автор: Ashutosh Ashutosh,Adrien Lavoie,Huicheng Chang,Aaron Budrevich. Владелец: Intel Corp. Дата публикации: 2008-12-16.

Improving the reliability of high-k gate dielectric layers

Номер патента: TWI370494B. Автор: Ashutosh Ashutosh,Adrien Lavoie,Huicheng Chang,Aaron Budrevich. Владелец: Intel Corp. Дата публикации: 2012-08-11.

INTERFACIAL LAYER REGROWTH CONTROL IN HIGH-K GATE STRUCTURE FOR FIELD EFFECT TRANSISTOR

Номер патента: US20130187241A1. Автор: Mueller Markus,Boccardi Guillaume,Petry Jasmine. Владелец: NXP B.V.. Дата публикации: 2013-07-25.

Multi-layer gate dielectric

Номер патента: US09412860B2. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2016-08-09.

High dielectric constant metal oxide gate dielectrics

Номер патента: US6998357B2. Автор: Peng Cheng,Brian S. Doyle,David B. Fraser,Gang Bai,Chunlin Liang. Владелец: Intel Corp. Дата публикации: 2006-02-14.

Multi-Layer Gate Dielectric

Номер патента: US20100052078A1. Автор: Gang Bai. Владелец: Individual. Дата публикации: 2010-03-04.

Multi-layer gate dielectric

Номер патента: US8581353B2. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2013-11-12.

Multi-layer gate dielectric

Номер патента: US20110089502A1. Автор: Gang Bai. Владелец: Individual. Дата публикации: 2011-04-21.

Multi-layer gate dielectric

Номер патента: US20140042560A1. Автор: Gang Bai. Владелец: Individual. Дата публикации: 2014-02-13.

Multi-layer gate dielectric

Номер патента: US20160343824A1. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2016-11-24.

Multi-layer gate dielectric

Номер патента: US8193593B2. Автор: Gang Bai. Владелец: Intel Corp. Дата публикации: 2012-06-05.

Method for forming gate dielectric layer

Номер патента: US9312138B2. Автор: Chia-Ming Tsai,Miin-Jang Chen,Liang-Chen Chi,Chin-Kun Wang,Jhih-Jie Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-04-12.

Methods of atomic layer deposition of hafnium oxide as gate dielectrics

Номер патента: WO2013177557A3. Автор: Jinhong Tong. Владелец: Intermolecular, Inc. Дата публикации: 2014-01-16.

Structure having different gate dielectric widths in different regions of substrate

Номер патента: US20230326924A1. Автор: Hong Yu,Anton V. Tokranov,Edward P. Reis, JR.. Владелец: GlobalFoundries US Inc. Дата публикации: 2023-10-12.

SUPERIOR INTEGRITY OF A HIGH-K GATE STACK BY FORMING A CONTROLLED UNDERCUT ON THE BASIS OF A WET CHEMISTRY

Номер патента: US20150137270A1. Автор: Beyer Sven,Reimer Berthold,Graetsch Falk. Владелец: . Дата публикации: 2015-05-21.

Strained spacer design for protecting high-K gate dielectric

Номер патента: US7763945B2. Автор: Chih-Hao Wang,Shang-Chih Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2010-07-27.

Semiconductor device having high-k gate dielectric layer and method for manufacturing the same

Номер патента: US7683432B2. Автор: Hiroshi Oji. Владелец: ROHM CO LTD. Дата публикации: 2010-03-23.

Thin Film Transistor Including a High-k Insulating Thin Film and Method for Manufacturing The Same

Номер патента: KR102000829B1. Автор: 이지원,정재경. Владелец: 한양대학교 산학협력단. Дата публикации: 2019-07-16.

Formation of a silicon oxynitride layer on a high-k dielectric material

Номер патента: US20050260347A1. Автор: Pravin Narwankar,Gregg Higashi. Владелец: Applied Materials Inc. Дата публикации: 2005-11-24.

Formation of a silicon oxynitride layer on a high-k dielectric material

Номер патента: US8119210B2. Автор: Gregg Higashi,Pravin K. Narwankar. Владелец: Applied Materials Inc. Дата публикации: 2012-02-21.

Formation of a silicon oxynitride layer on a high-k dielectric material

Номер патента: WO2005117087A1. Автор: Gregg Higashi,Pravin K. Narwankar. Владелец: Applied Materials, Inc.. Дата публикации: 2005-12-08.

Method of forming gate dielectric layer for MOS transistor

Номер патента: US09761687B2. Автор: Po-Lun Cheng,Chun-Liang Chen,Meng-Che Yeh,Shih-Jung Tu,Han-Lin Hsu. Владелец: United Microelectronics Corp. Дата публикации: 2017-09-12.

Method of making a shallow trench isolation with thin nitride as gate dielectric

Номер патента: US6040233A. Автор: Robert Louis Hodges. Владелец: STMicroelectronics lnc USA. Дата публикации: 2000-03-21.

Semiconductor device incorporating fluorine into gate dielectric

Номер патента: WO2007109487A2. Автор: Imran Khan,Pinghai Hao,Fan-Chi Hou. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2007-09-27.

Semiconductor device having a high-K gate dielectric above an STI region

Номер патента: US09659928B2. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2017-05-23.

Semiconductor device having high-k gate dielectric above an sti region

Номер патента: US20150187765A1. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2015-07-02.

Process for formation of isolation trenches with high-K gate dielectrics

Номер патента: US6008095A. Автор: Mark I. Gardner,H. Jim Fulford,Charles E May. Владелец: Advanced Micro Devices Inc. Дата публикации: 1999-12-28.

METHOD OF LATERAL OXIDATION OF NFET AND PFET HIGH-K GATE STACKS

Номер патента: US20160365252A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2016-12-15.

Method of removing a patterned multilevel resist from a surface layer on a substrate

Номер патента: US5160404A. Автор: Takushi Motoyama. Владелец: Fujitsu Ltd. Дата публикации: 1992-11-03.

Field-effect transistors with deposited gate dielectric layers

Номер патента: EP4421878A1. Автор: Francois Hebert,James A. Cooper,Hema Lata Rao MADDI. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-08-28.

Field-effect transistors with deposited gate dielectric layers

Номер патента: US20240290879A1. Автор: Francois Hebert,James A. Cooper,Hema Lata Rao MADDI. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-08-29.

Flash Memory Utilizing a High-K Metal Gate

Номер патента: US20140038404A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-02-06.

SEMICONDUCTOR DEVICE HAVING HIGH-K GATE DIELECTRIC LAYER

Номер патента: US20150200266A1. Автор: Wann Clement Hsingjen,LEE Kun-Yu,YAO Liang-Gi,OKUNO Yasutoshi. Владелец: . Дата публикации: 2015-07-16.

Flash Memory Utilizing a High-K Metal Gate

Номер патента: US20140038404A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-02-06.

Method of reducing oxygen vacancies in a high k capacitor dielectric region, and DRAM processing methods

Номер патента: US20020106853A1. Автор: Gurtej Sandhu,Cem Basceri. Владелец: Individual. Дата публикации: 2002-08-08.

Enhanced gate dielectric for a field effect device with a trenched gate

Номер патента: EP3022772A2. Автор: LIN Cheng,John Williams Palmour,Anant Kumar Agarwal,Daniel Jenner Lichtenwalner. Владелец: Cree Inc. Дата публикации: 2016-05-25.

Enhanced gate dielectric for a field effect device with a trenched gate

Номер патента: EP3826073A1. Автор: LIN Cheng,John Williams Palmour,Anant Kumar Agarwal,Daniel Jenner Lichtenwalner. Владелец: Cree Inc. Дата публикации: 2021-05-26.

Transistor structure with hybrid gate dielectric structure and asymmetric source/drain regions

Номер патента: US20240030343A1. Автор: Jagar Singh,Man Gu,Saloni Chaurasia. Владелец: GlobalFoundries US Inc. Дата публикации: 2024-01-25.

SALICIDED STRUCTURE TO INTEGRATE A FLASH MEMORY DEVICE WITH A HIGH K, METAL GATE LOGIC DEVICE

Номер патента: US20160276354A1. Автор: Liu Ming Chyi. Владелец: . Дата публикации: 2016-09-22.

HIGH-K GATE INSULATOR FOR A THIN-FILM TRANSISTOR

Номер патента: US20200083052A1. Автор: CHOI Soo Young,Rui Xiangxin,Yim Dong-Kil,ZHAI Yujia,ZHAO Lai. Владелец: . Дата публикации: 2020-03-12.

METHOD FOR FABRICATING A METAL HIGH-K GATE STACK FOR A BURIED RECESSED ACCESS DEVICE

Номер патента: US20150187586A1. Автор: Fischer Mark,MAYUZUMI Satoru,Violette Michael. Владелец: . Дата публикации: 2015-07-02.

METHOD FOR FABRICATING A METAL HIGH-K GATE STACK FOR A BURIED RECESSED ACCESS DEVICE

Номер патента: US20170263458A1. Автор: Fischer Mark,MAYUZUMI Satoru,Violette Michael. Владелец: . Дата публикации: 2017-09-14.

Mos transistor operated as otp cell with gate dielectric operating as an e-fuse element

Номер патента: US20150200251A1. Автор: Min-Hwa Chi,Yanxiang Liu. Владелец: Globalfoundries Inc. Дата публикации: 2015-07-16.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US09627214B2. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Higher ‘K’ gate dielectric cap for replacement metal gate (RMG) FINFET devices

Номер патента: US09741720B1. Автор: Balaji Kannan,Shahab Siddiqui,Siddarth Krishnan. Владелец: Globalfoundries Inc. Дата публикации: 2017-08-22.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US9514948B2. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-12-06.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20160315166A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-10-27.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20150171182A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2015-06-18.

Stratified gate dielectric stack for gate dielectric leakage reduction

Номер патента: US20160314977A1. Автор: Hemanth Jagannathan,Paul C. Jamison. Владелец: International Business Machines Corp. Дата публикации: 2016-10-27.

SEMICONDUCTOR DEVICE HAVING A HIGH-K GATE DIELECTRIC LAYER

Номер патента: US20140175569A1. Автор: Joo Dae-Kwon,HONG KYUNGIL,LIM Hajin,Do Jinho,Song Moonkyun,KIM WeonHong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-06-26.

Two Step Deposition of High-k Gate Dielectric Materials

Номер патента: US20150140838A1. Автор: Amol Joshi,Kevin Kashefi,Salil Mujumdar. Владелец: Intermolecular Inc. Дата публикации: 2015-05-21.

Method of Forming High-K Gates Dielectrics

Номер патента: US20150332926A1. Автор: YANG Chih-Wei,Hsu Chia-Fu,Ke Jian-Cun. Владелец: UNITED MICROELECTRONICS CORP.. Дата публикации: 2015-11-19.

SEMICONDUCTOR DEVICE WITH HIGH-K GATE DIELECTRIC LAYER

Номер патента: US20190378766A1. Автор: Li Yong. Владелец: . Дата публикации: 2019-12-12.

Semiconductor device with high-K gate dielectric layer and fabrication method thereof

Номер патента: US10431501B2. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2019-10-01.

Nitrogen treatment to improve high-k gate dielectrics

Номер патента: TW200623316A. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen,Ta-Wei Wang. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-07-01.

Nitrogen treatment to improve high-k gate dielectrics

Номер патента: TWI278060B. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen,Ta-Wei Wang. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2007-04-01.

Method of lateral oxidation of nfet and pfet high-k gate stacks

Номер патента: US20180174847A1. Автор: Takashi Ando,Martin M. Frank,Robert H. Dennard. Владелец: International Business Machines Corp. Дата публикации: 2018-06-21.

METHOD FOR FABRICATING A METAL HIGH-K GATE STACK FOR A BURIED RECESSED ACCESS DEVICE

Номер патента: US20140357033A1. Автор: Fischer Mark,MAYUZUMI Satoru,Violette Michael. Владелец: . Дата публикации: 2014-12-04.

METHOD OF LATERAL OXIDATION OF NFET AND PFET HIGH-K GATE STACKS

Номер патента: US20190267243A1. Автор: Ando Takashi,Frank Martin M.,Dennard Robert H.. Владелец: . Дата публикации: 2019-08-29.

Passivator for Gate Dielectric

Номер патента: US20200066535A1. Автор: Xiong-Fei Yu,Che-Hao Chang,Cheng-Hao Hou,Tsung-Da Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2020-02-27.

Semiconductor device with composite gate dielectric and method for preparing the same

Номер патента: US20230262955A1. Автор: Li-Han Lu. Владелец: Nanya Technology Corp. Дата публикации: 2023-08-17.

Method for selective removal of gate dielectric from dummy fin

Номер патента: US11837649B2. Автор: Shih-Yao Lin,Chih-Han Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-05.

Ferroelectric gate dielectrics in integrated circuits

Номер патента: US20200235221A1. Автор: Abhishek A. Sharma,Brian S. Doyle,Ravi Pillarisetty,Elijah V. KARPOV,Prashant Majhi. Владелец: Intel Corp. Дата публикации: 2020-07-23.

Method of manufacturing a trench FET having a merged gate dielectric

Номер патента: US09853142B2. Автор: Ling Ma. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-12-26.

Manufacturing method for integrating gate dielectric layers of different thicknesses

Номер патента: US11961740B2. Автор: LIAN Lu,Yizheng Zhu,Xiangguo Meng. Владелец: Shanghai Huali Integrated Circuit Corp. Дата публикации: 2024-04-16.

Semiconductor device with a memory device and a high-K metal gate transistor

Номер патента: US9754951B2. Автор: Ralf Richter,Sven Beyer. Владелец: Globalfoundries Inc. Дата публикации: 2017-09-05.

Method to improve gate dielectric quality for FinFET

Номер патента: US09911832B2. Автор: YONG Li. Владелец: Semiconductor Manufacturing International Shanghai Corp. Дата публикации: 2018-03-06.

Multi-layer inter-gate dielectric structure and method of manufacturing thereof

Номер патента: US10192747B2. Автор: Chun Chen,Shenqing Fang. Владелец: Cypress Semiconductor Corp. Дата публикации: 2019-01-29.

Select gates with select gate dielectric first

Номер патента: US09443862B1. Автор: Yusuke Yoshida,Kazutaka Yoshizawa,Dai Iwata. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-09-13.

Nonvolatile storage with gap in inter-gate dielectric

Номер патента: WO2016186910A1. Автор: Takashi Kashimura,Sayako Nagamine. Владелец: SanDisk Technologies LLC. Дата публикации: 2016-11-24.

SALICIDED STRUCTURE TO INTEGRATE A FLASH MEMORY DEVICE WITH A HIGH K, METAL GATE LOGIC DEVICE

Номер патента: US20160013197A1. Автор: Liu Ming Chyi. Владелец: . Дата публикации: 2016-01-14.

RECESSED SALICIDE STRUCTURE TO INTEGRATE A FLASH MEMORY DEVICE WITH A HIGH K, METAL GATE LOGIC DEVICE

Номер патента: US20160013198A1. Автор: Liu Ming Chyi. Владелец: . Дата публикации: 2016-01-14.

SEMICONDUCTOR DEVICE WITH A MEMORY DEVICE AND A HIGH-K METAL GATE TRANSISTOR

Номер патента: US20170125432A1. Автор: Beyer Sven,Richter Ralf. Владелец: . Дата публикации: 2017-05-04.

Methods to utilize piezoelectric materials as gate dielectric in high frequency RBTs in an IC device

Номер патента: US09997695B2. Автор: Bichoy BAHR,Zoran Krivokapic. Владелец: Globalfoundries Inc. Дата публикации: 2018-06-12.

Methods to utilize piezoelectric materials as gate dielectric in high frequency RBTs in an IC device

Номер патента: US09673376B1. Автор: Bichoy BAHR,Zoran Krivokapic. Владелец: Globalfoundries Inc. Дата публикации: 2017-06-06.

Lattice matched and strain compensated single-crystal compound for gate dielectric

Номер патента: US09876090B1. Автор: Martin M. Frank,Guy M. Cohen. Владелец: International Business Machines Corp. Дата публикации: 2018-01-23.

DRAM device having a gate dielectric layer with multiple thicknesses

Номер патента: US7948028B2. Автор: Shing-Hwa Renn. Владелец: Nanya Technology Corp. Дата публикации: 2011-05-24.

Multi-plasma nitridation process for a gate dielectric

Номер патента: US20150179459A1. Автор: Michael P. Chudzik,Barry P. Linder,Shahab Siddiqui. Владелец: International Business Machines Corp. Дата публикации: 2015-06-25.

Gate dielectric preserving gate cut process

Номер патента: US11152250B2. Автор: Chun-Fai Cheng,Chih-Ming Sun,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-10-19.

Gate dielectric preserving gate cut process

Номер патента: US11876013B2. Автор: Chun-Fai Cheng,Chih-Ming Sun,Shu-Yuan Ku. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-01-16.

Dual metal gate finFETs with single or dual high-K gate dielectric

Номер патента: US7659157B2. Автор: Brian J. Greene,Mahender Kumar. Владелец: International Business Machines Corp. Дата публикации: 2010-02-09.

Dual metal gate finfets with single or dual high-k gate dielectric

Номер патента: US20090078997A1. Автор: Brian J. Greene,Mahender Kumar. Владелец: International Business Machines Corp. Дата публикации: 2009-03-26.

(110)-oriented p-channel trench MOSFET having high-k gate dielectric

Номер патента: TW201017886A. Автор: Qi Wang,Tat Ngai. Владелец: Fairchild Semiconductor. Дата публикации: 2010-05-01.

Fabrication of fully depleted field effect transistor with high-K gate dielectric in SOI technology

Номер патента: US6395589B1. Автор: Bin Yu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-05-28.

(110)-oriented p-channel trench mosfet having high-K gate dielectric

Номер патента: CN101673766A. Автор: 王�琦,塔特·恩盖. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2010-03-17.

EMBEDDED SONOS AND HIGH VOLTAGE SELECT GATE WITH A HIGH-K METAL GATE AND MANUFACTURING METHODS OF THE SAME

Номер патента: US20200350213A1. Автор: Ramkumar Krishnaswamy,Prabhakar Venkatraman. Владелец: . Дата публикации: 2020-11-05.

PROCEDURE FOR A UNIFORMITY OF DIELECTRIC ELEMENTS WITH A HIGH K

Номер патента: DE112018004228B4. Автор: Chen Zhang,Tenko Yamashita,Wing Yeung Chun. Владелец: International Business Machines Corp. Дата публикации: 2021-01-21.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160155641A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-06-02.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20180130662A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2018-05-10.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160013061A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-01-14.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160013082A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-01-14.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20170133228A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-05-11.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20160300722A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-10-13.

System and Method for Mitigating Oxide Growth in a Gate Dielectric

Номер патента: US20160013083A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2016-01-14.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US20170170022A1. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-06-15.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US09892927B2. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2018-02-13.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US09779946B2. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-10-03.

System and method for mitigating oxide growth in a gate dielectric

Номер патента: US09576804B2. Автор: Haowen Bu,Malcolm J. Bevan,Hiroaki Niimi,Husam N. Alshareef. Владелец: Texas Instruments Inc. Дата публикации: 2017-02-21.

Power semiconductor device having a gate dielectric stack that includes a ferroelectric insulator

Номер патента: US12068390B2. Автор: Frank Dieter Pfirsch. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-08-20.

Methods of atomic-layer deposition of hafnium oxide/erbium oxide bi-layer as advanced gate dielectrics

Номер патента: US20140291776A1. Автор: Jinhong Tong. Владелец: Intermolecular Inc. Дата публикации: 2014-10-02.

Method and device for removing a thin film from a wafer backside surface

Номер патента: US5605602A. Автор: Damon K. DeBusk. Владелец: Advanced Micro Devices Inc. Дата публикации: 1997-02-25.

Method for fabricating a flash memory cell utilizing a high-K metal gate process and related structure

Номер патента: US20110108903A1. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2011-05-12.

Method of fabricating a flash memory comprising a high-K dielectric and a metal gate

Номер патента: US8822286B2. Автор: WEI Xia,Xiangdong Chen,Frank Hui. Владелец: Broadcom Corp. Дата публикации: 2014-09-02.

Integrating formation of a replacement gate transistor and a non-volatile memory cell using a high-k dielectric

Номер патента: US20130330893A1. Автор: Mehul D. Shroff,Mark D. Hall. Владелец: Individual. Дата публикации: 2013-12-12.

Gate Dielectric for Gate Leakage Reduction

Номер патента: US20240266415A1. Автор: Huang-Lin Chao,Pinyen Lin,Hsiang-Pi Chang,Shen-Yang LEE. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-08.

Method for self-aligned removal of a high-k gate dielectric above an sti region

Номер патента: US20090057813A1. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2009-03-05.

Method and apparatus for a semiconductor device with a high-k gate

Номер патента: SG118387A1. Автор: Chih-Hao Wang,Ching-Wei Tsai,Shang-Chih Chen. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2006-01-27.

Work function adjustment in high-k gates stacks including gate dielectrics of different thickness

Номер патента: SG178409A1. Автор: Thilo Scheiper,Andy Wei,Martin Trentzsch. Владелец: Globalfoundries Inc. Дата публикации: 2012-04-27.

A high-k dielectric material and methods of forming the high-k dielectric material

Номер патента: WO2012021318A2. Автор: Tsai-Yu Huang,Ching-Kai Lin. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-02-16.

Semiconductor device having high-k gate dielectric above an sti region

Номер патента: US20150187765A1. Автор: Andy Wei,Roman Boschke,Markus Forsberg. Владелец: Advanced Micro Devices Inc. Дата публикации: 2015-07-02.

High voltage extended drain mosfet (edmos) devices in a high-k metal gate (hkmg)

Номер патента: US20220020746A1. Автор: Peter Baars,Thorsten E. Kammler. Владелец: GlobalFoundries US Inc. Дата публикации: 2022-01-20.

INTEGRATED PATCH ANTENNA HAVING AN INSULATING SUBSTRATE WITH AN ANTENNA CAVITY AND A HIGH-K DIELECTRIC

Номер патента: US20220368012A1. Автор: Chen Ching-Hui,KUO Feng Wei,Liao Wen-Shiang. Владелец: . Дата публикации: 2022-11-17.

SEMICONDUCTOR DEVICE HAVING HIGH-K GATE INSULATION FILMS AND FABRICATING METHOD THEREOF

Номер патента: US20130299912A1. Автор: Kim Young-Hun,Kim Ju-Youn. Владелец: . Дата публикации: 2013-11-14.

Method for fabricating a gate dielectric layer and for fabricating a gate structure

Номер патента: US20120276731A1. Автор: Yi Nan Chen,Hsien Wen Liu,Kuo Hui Su. Владелец: Nanya Technology Corp. Дата публикации: 2012-11-01.

Quadruple gate dielectric for gate-all-around transistors

Номер патента: US20200258785A1. Автор: Takashi Ando,ChoongHyun Lee,Jingyun Zhang. Владелец: International Business Machines Corp. Дата публикации: 2020-08-13.

Low threshold voltage transistor with non-uniform thickness gate dielectric

Номер патента: GB2451122A. Автор: Paul Ronald Stribley. Владелец: X Fab UK Ltd. Дата публикации: 2009-01-21.

Hybrid gate dielectrics for semiconductor power devices

Номер патента: WO2016187387A1. Автор: Salman Akram,Venkat Ananthan. Владелец: Fairchild Semiconductor Corporation. Дата публикации: 2016-11-24.

Hybrid gate dielectrics for semiconductor power devices

Номер патента: US20180269302A1. Автор: Salman Akram,Venkat Ananthan. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2018-09-20.

Hybrid gate dielectrics for semiconductor power devices

Номер патента: US20160343823A1. Автор: Salman Akram,Venkat Ananthan. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2016-11-24.

METAL-INSULATOR-POLY CAPACITOR IN A HIGH-K METAL GATE PROCESS AND METHOD OF MANUFACTURING

Номер патента: US20200020761A1. Автор: Tan Shyue Seng,TAN Juan Boon,SHUM Danny Pak-Chum,CAI Xinshu. Владелец: . Дата публикации: 2020-01-16.

Method for forming air gap between gate dielectric layer and spacer

Номер патента: US12107121B2. Автор: Zhi-Cheng Lee,Kai-Lin Lee,Chuang-Han Hsieh. Владелец: United Microelectronics Corp. Дата публикации: 2024-10-01.

MOS transistor having a gate dielectric with multiple thicknesses

Номер патента: US09466715B2. Автор: Chih-Chang CHENG,Fu-Yu Chu,Ruey-Hsin Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-10-11.

Trench isolated IC with transistors having locos gate dielectric

Номер патента: US10014206B1. Автор: Ming-Yeh Chuang. Владелец: Texas Instruments Inc. Дата публикации: 2018-07-03.

CMOS Transistor With Dual High-k Gate Dielectric

Номер патента: US20130285154A1. Автор: Li Hong-Jyh. Владелец: . Дата публикации: 2013-10-31.

Integration of high k gate dielectric

Номер патента: US7790556B2. Автор: Michael E. Givens,Michael A. Todd,Eric J. Shero,Christophe F. Pomarede. Владелец: ASM America Inc. Дата публикации: 2010-09-07.

Integration of High K Gate Dielectric

Номер патента: US20020173130A1. Автор: Eric Shero,Michael Givens,Michael Todd,Christophe Pomerede. Владелец: Individual. Дата публикации: 2002-11-21.

Creating An Embedded ReRam Memory From A High-K Metal Gate Transistor Structure

Номер патента: US20150236260A1. Автор: Chiang Tony P.,Pramanik Dipankar,Lazovsky David E.. Владелец: . Дата публикации: 2015-08-20.

Creating An Embedded ReRam Memory From A High-K Metal Gate Transistor Structure

Номер патента: US20140319449A1. Автор: Chiang Tony P.,Pramanik Dipankar,Lazovsky David E. Владелец: . Дата публикации: 2014-10-30.

Chemical vapor deposition method for depositing a high k dielectric film

Номер патента: US6884475B2. Автор: Cem Basceri. Владелец: Micron Technology Inc. Дата публикации: 2005-04-26.

Chemical vapor deposition method for depositing a high k dielectric film

Номер патента: US20040228968A1. Автор: Cem Basceri. Владелец: Micron Technology Inc. Дата публикации: 2004-11-18.

Field-effect transistor with a dielectric structure having a gate dielectric and a shielding dielectric

Номер патента: US20240145580A1. Автор: Andreas Hoffmann. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2024-05-02.

Integrated circuit with multiple gate dielectric structures

Номер патента: US6087236A. Автор: Robert S. Chau,Reza Arghavani,Bruce Beattie. Владелец: Intel Corp. Дата публикации: 2000-07-11.

Device and Motor for Removing a Framed Wafer from a Wafer Tray

Номер патента: US20210320028A1. Автор: Josef Ammerl,Michael Froeschl. Владелец: MueTec Automatisierte Mikroskopie und Messtechnik GmbH. Дата публикации: 2021-10-14.

Method of removing a substrate

Номер патента: EP3619748A1. Автор: Takeshi Kamikawa,Hongjian Li,Srinivas GANDROTHULA,Daniel A. Cohen. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2020-03-11.

Method of removing a substrate

Номер патента: EP4411843A2. Автор: Takeshi Kamikawa,Hongjian Li,Srinivas GANDROTHULA,Daniel A. Cohen. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-08-07.

Method of removing a substrate

Номер патента: US12046695B2. Автор: Takeshi Kamikawa,Hongjian Li,Srinivas GANDROTHULA,Daniel A. Cohen. Владелец: UNIVERSITY OF CALIFORNIA. Дата публикации: 2024-07-23.

Rf sic mosfet with recessed gate dielectric

Номер патента: EP4378005A1. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2024-06-05.

RF SiC MOSFET WITH RECESSED GATE DIELECTRIC

Номер патента: US20230022394A1. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2023-01-26.

Method for manufacturing gate dielectric layer

Номер патента: US20060281251A1. Автор: Wen-Ji Chen,Tung-Po Chen,Kai-An Hsueh,Sheng-Hone Zheng. Владелец: Individual. Дата публикации: 2006-12-14.

Gate Dielectric for Bonded Stacked Transistors

Номер патента: US20240186394A1. Автор: Dechao Guo,Junli Wang,Ruqiang Bao. Владелец: International Business Machines Corp. Дата публикации: 2024-06-06.

CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers

Номер патента: US6048769A. Автор: Robert S. Chau. Владелец: Intel Corp. Дата публикации: 2000-04-11.

RF SiC MOSFET with recessed gate dielectric

Номер патента: US11830943B2. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2023-11-28.

Method for removing a diffusion barrier layer on pad regions

Номер патента: US5756376A. Автор: Yi-Chung Sheng,Kuan-Cheng Su,Chen-Hui Chung. Владелец: United Microelectronics Corp. Дата публикации: 1998-05-26.

Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same

Номер патента: US11849582B2. Автор: Li Hong XIAO. Владелец: Yangtze Memory Technologies Co Ltd. Дата публикации: 2023-12-19.

RF SiC MOSFET WITH RECESSED GATE DIELECTRIC

Номер патента: WO2023009325A1. Автор: Amaury Gendron-Hansen,Dumitru Gheorge Sdrulla. Владелец: Analog Power Conversion LLC. Дата публикации: 2023-02-02.

Field effect transistor having a gate dielectric with variable thickness

Номер патента: US5314834A. Автор: Marius K. Orlowski,Carlos A. Mazure. Владелец: Motorola Inc. Дата публикации: 1994-05-24.

Method of fabricating CMOS with different gate dielectric layers

Номер патента: US20020072168A1. Автор: Horng-Huei Tseng. Владелец: Vanguard International Semiconductor Corp. Дата публикации: 2002-06-13.

Dual gate dielectric thickness devices

Номер патента: US20060208323A1. Автор: Brent Anderson,Terence Hook. Владелец: International Business Machines Corp. Дата публикации: 2006-09-21.

Dual gate dielectric thickness devices

Номер патента: US20050280097A1. Автор: Brent Anderson,Terence Hook. Владелец: Individual. Дата публикации: 2005-12-22.

Hybrid gate dielectric access device for vertical three-dimensional memory

Номер патента: US20240206152A1. Автор: Haitao Liu,Scott E. Sills,Kamal M. Karda,Si-Woo Lee. Владелец: Micron Technology Inc. Дата публикации: 2024-06-20.

Hybrid gate dielectric access device for vertical three-dimensional memory

Номер патента: WO2024129507A1. Автор: Haitao Liu,Scott E. Sills,Kamal M. Karda,Si-Woo Lee. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2024-06-20.

Semiconductor device and process for reducing damaging breakdown in gate dielectrics

Номер патента: US20120077323A1. Автор: Taeho Kook,Tanya Nigam,Bonnie E. Weir. Владелец: Agere Systems LLC. Дата публикации: 2012-03-29.

Apparatus for removing a ring-shaped reinforcement edge from a ground semiconductor wafer

Номер патента: US9905445B2. Автор: Walter Schober. Владелец: MECHATRONIC SYSTEMTECHNIK GMBH. Дата публикации: 2018-02-27.

Semiconductor device with composite gate dielectric and method for preparing the same

Номер патента: US12150290B2. Автор: Li-Han Lu. Владелец: Nanya Technology Corp. Дата публикации: 2024-11-19.

Method of fabricating a gate dielectric layer for a thin film transistor

Номер патента: US20020090767A1. Автор: David Jones,Richard Bullock. Владелец: ESM Ltd. Дата публикации: 2002-07-11.

Cocktail layer over gate dielectric layer of FET FeRAM

Номер патента: US12127411B2. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-22.

Thin film transistor with low trap-density material abutting a metal oxide active layer and the gate dielectric

Номер патента: US09911857B2. Автор: Chan-Long Shieh,Gang Yu,Fatt Foong. Владелец: CBRITE Inc. Дата публикации: 2018-03-06.

Silicon carbide (SiC) device with improved gate dielectric shielding

Номер патента: US09685550B2. Автор: Martin Domeij. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2017-06-20.

Method of removing a handle substrate from a solid-state die

Номер патента: WO2024112606A1. Автор: Takashi Orimoto,Chia-Ming Chang,Peter O. WEIGEL. Владелец: Psiquantum, Corp.. Дата публикации: 2024-05-30.

Gate dielectric antifuse circuit to protect a high-voltage transistor

Номер патента: US20050029598A1. Автор: John Porter,Kenneth Marr. Владелец: Micron Technology Inc. Дата публикации: 2005-02-10.

SILICON CARBIDE (SiC) DEVICE WITH IMPROVED GATE DIELECTRIC SHIELDING

Номер патента: US20160190300A1. Автор: Martin Domeij. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2016-06-30.

SILICON CARBIDE (SiC) DEVICE WITH IMPROVED GATE DIELECTRIC SHIELDING

Номер патента: US20170288048A1. Автор: Martin Domeij. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2017-10-05.

Silicon carbide (SiC) device with improved gate dielectric shielding

Номер патента: US10453950B2. Автор: Martin Domeij. Владелец: Fairchild Semiconductor Corp. Дата публикации: 2019-10-22.

Cocktail layer over gate dielectric layer of FET FeRAM

Номер патента: US11818896B2. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-14.

Cocktail layer over gate dielectric layer of fet feram

Номер патента: US20220254793A1. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-08-11.

Cocktail layer over gate dielectric layer of fet feram

Номер патента: US20220359544A1. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2022-11-10.

Cocktail layer over gate dielectric layer of fet feram

Номер патента: US20230380177A1. Автор: Hai-Ching Chen,Chung-Te Lin,Rainer Yen-Chieh Huang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-11-23.

Uv crosslinking of pvdf-based polymers for gate dielectric insulators of organic thin-film transistors

Номер патента: US20210226142A1. Автор: Yang Li,Xin Li,Mingqian He,Hongxiang Wang. Владелец: Corning Inc. Дата публикации: 2021-07-22.

Method of removing a telecommunications connector

Номер патента: US7900332B2. Автор: Keith Nelson,Ponharith Nhep. Владелец: ADC Telecommunications Inc. Дата публикации: 2011-03-08.

Tool for removing a seal ring from a spark plug

Номер патента: US20240238951A1. Автор: Mihail VINNIK. Владелец: ROBERT BOSCH GMBH. Дата публикации: 2024-07-18.

Rotary apparatus for removing a habitual tendency of an electric wire

Номер патента: US5477718A. Автор: Yoshiei Sakamoto,Tatuya Sawaguchi. Владелец: Sumitomo Wiring Systems Ltd. Дата публикации: 1995-12-26.

Apparatus and method for removing a glass coating

Номер патента: GB2438380A. Автор: David John Harris,Neil Robert Seacroft. Владелец: CRT Heaven Ltd. Дата публикации: 2007-11-28.

High-k gate dielectrics prepared by liquid phase anodic oxidation

Номер патента: US6887310B2. Автор: Jenn-Gwo Hwu,Szu-Wei Huang,Yen-Po Lin. Владелец: National Taiwan University NTU. Дата публикации: 2005-05-03.

Power cable jacket removal device and methods of removing a jacket from a cable

Номер патента: US11984712B2. Автор: Adam Vernon Smith. Владелец: Milwaukee Electric Tool Corp. Дата публикации: 2024-05-14.

Methods of adding or removing a control into/out of a network and apparatus for carrying out said methods

Номер патента: US20170094705A1. Автор: Markus Saumer,Klaus Weddingfeld. Владелец: SICK AG. Дата публикации: 2017-03-30.

Method for removing a braiding layer of coaxial cable

Номер патента: US20030066187A1. Автор: Jim Zhao. Владелец: Individual. Дата публикации: 2003-04-10.

Method, system and server of removing a distributed caching object

Номер патента: EP2609521A1. Автор: Gang Liu,Qing Ren,Wensong Zhang. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2013-07-03.

Method for removing a Cable Core from a Cable Sheath.

Номер патента: NL2014849A. Автор: James Eagles Nigel. Владелец: James Eagles Nigel. Дата публикации: 2016-11-28.

Handheld tool for removing a wire from within an optical cable

Номер патента: US20240036285A1. Автор: Nathan Hoffman. Владелец: CENTURYLINK INTELLECTUAL PROPERTY LLC. Дата публикации: 2024-02-01.

Handheld tool for removing a wire from within an optical cable

Номер патента: US12105341B2. Автор: Nathan Hoffman. Владелец: CENTURYLINK INTELLECTUAL PROPERTY LLC. Дата публикации: 2024-10-01.

Method for removing a coating

Номер патента: US09914235B2. Автор: Uwe BÖTTCHER. Владелец: Nyfors Teknologi AB. Дата публикации: 2018-03-13.

Method and apparatus for removing a conformal coating from a circuit board

Номер патента: US20210078136A1. Автор: Ebrahim Ghanbari. Владелец: HZO Inc. Дата публикации: 2021-03-18.

Method and apparatus for removing a conformal coating from a circuit board

Номер патента: WO2019122810A1. Автор: Ebrahim Ghanbari. Владелец: Semblant Limited. Дата публикации: 2019-06-27.

Method and apparatus for removing a conformal coating from a circuit board

Номер патента: US11673230B2. Автор: Ebrahim Ghanbari. Владелец: HZO Inc. Дата публикации: 2023-06-13.

Method and apparatus for removing a conformal coating from a circuit board

Номер патента: EP3727748A1. Автор: Ebrahim Ghanbari. Владелец: Semblant Ltd. Дата публикации: 2020-10-28.

Heating device for preventing or removing a deposition

Номер патента: WO2023174945A1. Автор: Dimos Poulikakos,Thomas SCHUTZIUS,Efstratios MITRIDIS,Iwan HÄCHLER,Gabriel SCHNOERING. Владелец: ETH Zurich. Дата публикации: 2023-09-21.

Method, system and server of removing a distributed caching object

Номер патента: US20140365600A1. Автор: Gang Liu,Qing Ren,Wensong Zhang. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2014-12-11.

Method, system and server of removing a distributed caching object

Номер патента: US20140237068A1. Автор: Gang Liu,Qing Ren,Wensong Zhang. Владелец: Alibaba Group Holding Ltd. Дата публикации: 2014-08-21.

Methods of adding or removing a control into/out of a network and apparatus for carrying out said methods

Номер патента: US9949307B2. Автор: Markus Saumer,Klaus Weddingfeld. Владелец: SICK AG. Дата публикации: 2018-04-17.

Handheld tool for removing a wire from within an optical cable

Номер патента: US11789229B2. Автор: Nathan Hoffman. Владелец: CENTURYLINK INTELLECTUAL PROPERTY LLC. Дата публикации: 2023-10-17.

Method for removing a cable core from a cable sheath

Номер патента: WO2016190735A1. Автор: Nigel James EAGLES. Владелец: Eagles Nigel James. Дата публикации: 2016-12-01.

System and method to remove a vehicle shadow from a video feed

Номер патента: US20210211558A1. Автор: Mohannad Murad,Sai Vishnu Aluru,Yun Qian Miao. Владелец: GM GLOBAL TECHNOLOGY OPERATIONS LLC. Дата публикации: 2021-07-08.

Facsimile apparatus capable of removing a stack of recording sheets that have been ejected

Номер патента: US6147781A. Автор: Yasuhiro Kawashima,Yasunobu Youda,Shuuji Tanaka. Владелец: Ricoh Co Ltd. Дата публикации: 2000-11-14.

Device for removing a peduncle from a printed circuit board

Номер патента: CA2056184A1. Автор: Gianni Brosadola. Владелец: Bros Italia S.R.L.. Дата публикации: 1992-05-28.

Cmuts with a high-k dielectric

Номер патента: WO2009016606A3. Автор: Klaus Reimann,Aarnoud Laurens Roest,John Douglas Fraser,Beek Jozef Thomas Martinus Van,Marieke Klee. Владелец: Marieke Klee. Дата публикации: 2009-08-06.

cmuts with a high-k dielectric

Номер патента: CN101772383A. Автор: M·克莱,J·D·弗雷泽,K·赖曼,A·L·鲁斯特,J·T·M·范贝克. Владелец: KONINKLIJKE PHILIPS ELECTRONICS NV. Дата публикации: 2010-07-07.

Procedure and apparatus for removing a fraction from a condenser

Номер патента: WO1989010174A1. Автор: Wilfried Welke. Владелец: Huhtamaki Oy. Дата публикации: 1989-11-02.

Foil removal device and a method for removing a foil from a tire tread

Номер патента: US09902584B2. Автор: Roel KRAMER. Владелец: VMI Holland BV. Дата публикации: 2018-02-27.

Device and method for removing a closing element

Номер патента: WO2024218001A1. Автор: Matthias Hofmann,Florian Weippert,Christian Naser,Felix Seeliger. Владелец: OPTIMA pharma GmbH. Дата публикации: 2024-10-24.

Apparatus for removing a wall plug from a wall

Номер патента: GB2605912A. Автор: James Fitzsimons Duncan,John Bootle William. Владелец: Fitboo Ltd. Дата публикации: 2022-10-19.

Removing a stuck cap from a wellbore pressure control system

Номер патента: US20240167357A1. Автор: Ahmed Jassim Al Abdulghani,Bakur Othman Barnawi. Владелец: Saudi Arabian Oil Co. Дата публикации: 2024-05-23.

Method for removing a coating from a portion of optical fiber

Номер патента: US20020117190A1. Автор: Marco Marazzi,Adina Pircalaboiu,Marco Paese. Владелец: Corning OTI SRL. Дата публикации: 2002-08-29.

Method of removing a sensing rod from a hydraulic water pump

Номер патента: US20030159285A1. Автор: Daniel Olson,Peter Utecht. Владелец: Individual. Дата публикации: 2003-08-28.

Device and method of removing a sports bra

Номер патента: US09814341B2. Автор: Lori Ann Kobyluck. Владелец: Individual. Дата публикации: 2017-11-14.

Fastener removal apparatuses and methods of removing a fastener from a structure

Номер патента: WO2024158403A1. Автор: Troy Don PIERCE,Thomas R. Kingsbury. Владелец: Howmet Aerospace Inc.. Дата публикации: 2024-08-02.

Device for removing a fluid from a vial

Номер патента: US09402787B2. Автор: Andy Greter,William Brem,Ralph Egon Kayser. Владелец: MEDMIX SYSTEMS AG. Дата публикации: 2016-08-02.

Apparatus for removing a flip-off type plastic cap from a bottle

Номер патента: US11945707B2. Автор: Nicola RASPADORI,Alessandro Brunetti. Владелец: Comecer SpA. Дата публикации: 2024-04-02.

Method for removing a coating from a portion of optical fiber

Номер патента: US6582527B2. Автор: Marco Marazzi,Adina Pircalaboiu,Marco Paese. Владелец: Corning OTI SRL. Дата публикации: 2003-06-24.

Apparatus, tool and method for removing a coating layer from a portion of optical fibre

Номер патента: WO2001048523A9. Автор: Marco Marazzi,Danilo Scarano,Benjamino Mariani. Владелец: Benjamino Mariani. Дата публикации: 2001-07-26.

Device, set and method for removing a sterile object from a foil bag

Номер патента: US20240092521A1. Автор: Florian Weber,Reiner Staeudle,Juergen Leidig,Alexander Ekkart. Владелец: Syntegon Technology GmbH. Дата публикации: 2024-03-21.

Cover strip machine and method of removing a golf ball cover

Номер патента: WO2012143789A1. Автор: Takahisa Ono. Владелец: Nike International Ltd.. Дата публикации: 2012-10-26.

Method and apparatus for removing a mark in a document image

Номер патента: US20160283817A1. Автор: Jun Sun,Wei Fan,Song WANG. Владелец: Fujitsu Ltd. Дата публикации: 2016-09-29.

Method and apparatus for removing a mark in a document image

Номер патента: US9881228B2. Автор: Jun Sun,Wei Fan,Song WANG. Владелец: Fujitsu Ltd. Дата публикации: 2018-01-30.

Removal device and method for removing a plant pad from a storage tube

Номер патента: WO2024186203A1. Автор: VAN DEN Peter Hubertus Elisabeth ENDE. Владелец: Ende Van Den Peter Hubertus Elisabeth. Дата публикации: 2024-09-12.

Method and apparatus for removing a mark in a document image

Номер патента: US09881228B2. Автор: Jun Sun,Wei Fan,Song WANG. Владелец: Fujitsu Ltd. Дата публикации: 2018-01-30.

Method for removing a section from a tire using a saw blade

Номер патента: US09610640B2. Автор: Adib Tony Chebli,Metodi Lubenov Ikonomov. Владелец: Michelin Recherche et Technique SA Switzerland. Дата публикации: 2017-04-04.

An apparatus and a method for removing a coupling from a hose, preferably a fire hose

Номер патента: SE542221C2. Автор: Niklas Svensson,Magnus HEDLUND,Mats Bergsjö,Dick Dahlgren. Владелец: Werma Ab. Дата публикации: 2020-03-17.

Device for fitting and removing a tire on a rim

Номер патента: EP4341107A1. Автор: Cristiano Tarozzi. Владелец: M&B Engineering SRL. Дата публикации: 2024-03-27.

Method of and apparatus for removing a softenable cover from a golf ball

Номер патента: WO1995022380A1. Автор: . Владелец: Mcrae, Corbett. Дата публикации: 1995-08-24.

A biopsy tool and method for removing a tissue sample

Номер патента: EP3975888A1. Автор: Magnus Olsen,David SKANTZE,Rebecca SZAFRAN. Владелец: Szafran Biopsy Ab. Дата публикации: 2022-04-06.

A biopsy tool and method for removing a tissue sample

Номер патента: WO2020242371A1. Автор: Magnus Olsen,David SKANTZE,Rebecca SZAFRAN. Владелец: Szafran Biopsy Ab. Дата публикации: 2020-12-03.

Use of a suction cup for removing a sheet from a concrete slab

Номер патента: EP1387746A1. Автор: Arnoldus Franciscus Van Malssen,Leendert Peter Arie Van Der Sijde. Владелец: Kalkman Holding BV. Дата публикации: 2004-02-11.

An apparatus and a method for removing a coupling from a hose, preferably a fire hose

Номер патента: SE1850257A1. Автор: Niklas Svensson,Magnus HEDLUND,Mats Bergsjö,Dick Dahlgren. Владелец: Werma Ab. Дата публикации: 2019-09-10.

Device for fitting and removing a tire on a rim

Номер патента: US20240270034A1. Автор: Cristiano Tarozzi. Владелец: M & B Engineering Srl. Дата публикации: 2024-08-15.

A gripping tool and a method for removing a heat exchanger plate from a furnace wall

Номер патента: EP3475639A1. Автор: Ronald Coleman,Harold HILDEBRANDT. Владелец: Outotec Finland Oy. Дата публикации: 2019-05-01.

A gripping tool and a method for removing a heat exchanger plate from a furnace wall

Номер патента: WO2017220848A1. Автор: Ronald Coleman,Harold HILDEBRANDT. Владелец: OUTOTEC (FINLAND) OY. Дата публикации: 2017-12-28.

Apparatus for removing a flip-off type plastic cap from a bottle

Номер патента: EP3932816A1. Автор: Nicola RASPADORI,Alessandro Brunetti. Владелец: Comecer SpA. Дата публикации: 2022-01-05.

Auxiliary rig and method of removing a well tubing from a petroleum well

Номер патента: AU2022415895A1. Автор: Trond Skjeie. Владелец: ARCHER OILTOOLS AS. Дата публикации: 2024-06-27.

Auxiliary rig and method of removing a well tubing from a petroleum well

Номер патента: GB2627658A. Автор: Skjeie Trond. Владелец: ARCHER OILTOOLS AS. Дата публикации: 2024-08-28.

Method, system and apparatus for removing a marker projected in a scene

Номер патента: US09767612B2. Автор: Berty Jacques Alain Bhuruth. Владелец: Canon Inc. Дата публикации: 2017-09-19.

Device and a method for removing a liquid from a water surface

Номер патента: US09587360B2. Автор: Grettir Hammer,Jóhann Fragraklett. Владелец: GREEN IQ. Дата публикации: 2017-03-07.

Process for removing a coating from workpieces

Номер патента: US09512539B2. Автор: Udo Rauch,Mirco Elias Kostner. Владелец: Oerlikon Surface Solutions AG Pfaeffikon. Дата публикации: 2016-12-06.

Mounting and removing a continuous conveyor belt

Номер патента: US20030015407A1. Автор: Lennardt Jader. Владелец: Individual. Дата публикации: 2003-01-23.

Method of removing a thermal barrier coating

Номер патента: EP1118695A2. Автор: William Clarke Brooks. Владелец: General Electric Co. Дата публикации: 2001-07-25.

Device to remove a coffee puck

Номер патента: AU2022421956A1. Автор: Stephen John Mcclean,Duncan Bruce HELLMERS,Chaoyu Bi,Maaike Petronella Kleijn,Khon Minh Thai. Владелец: Breville R&D Pty Ltd. Дата публикации: 2024-07-04.

Apparatus for removing a liquid from a hard surface

Номер патента: WO1997003599A1. Автор: John Callaghan,Oliver Hood,Hugh Rogers Mclaughlin. Владелец: Hugh Rogers Mclaughlin. Дата публикации: 1997-02-06.

Composition and Method for Removing a Coating from a Surface

Номер патента: US20210062019A1. Автор: Katrell D. Copeland. Владелец: NCH Corp. Дата публикации: 2021-03-04.

An opener for removing a closure from a receptacle

Номер патента: GB201116884D0. Автор: . Владелец: DESENS RICHARD. Дата публикации: 2011-11-16.

Kit and method of removing a fastener from a substrate

Номер патента: GB2626186A. Автор: Peter Williams-Raahuage Michael. Владелец: Blockley Tool Co Ltd. Дата публикации: 2024-07-17.

Medical instrument and procedure for removing a food mass lodged in the esophagus

Номер патента: US20190046238A1. Автор: Alma F. Jenkins. Владелец: Individual. Дата публикации: 2019-02-14.

Medical instrument and procedure for removing a food mass lodged in the esophagus

Номер патента: US20190282272A1. Автор: Alma F. Jenkins. Владелец: Individual. Дата публикации: 2019-09-19.

Kit and method of removing a fastener from a substrate

Номер патента: WO2024149977A1. Автор: Michael Peter WILLIAMS-RAAHAUGE. Владелец: The Blockley Tool Company Ltd. Дата публикации: 2024-07-18.

Kit and method of removing a fastener from a substrate

Номер патента: GB2626186A8. Автор: Peter Williams-Raahauge Michael. Владелец: Blockley Tool Co Ltd. Дата публикации: 2024-08-14.

Surgical tool for removing a block of tissue from an organ

Номер патента: WO2008136004A2. Автор: Jacob Dan. Владелец: D.O.T. Dan Ophthalmic Technologies Ltd.. Дата публикации: 2008-11-13.

Device to remove a coffee puck

Номер патента: WO2023115162A1. Автор: Stephen John Mcclean,Duncan Bruce HELLMERS,Chaoyu Bi,Maaike Petronella Kleijn,Khon Minh Thai. Владелец: BREVILLE PTY LIMITED. Дата публикации: 2023-06-29.

Devices and methods for removing a coating on a surface of a submerged pipeline

Номер патента: WO2013066744A2. Автор: Timothy P. Sheehan,Randy D. LEWKOSKI. Владелец: ILLINOIS TOOL WORKS INC.. Дата публикации: 2013-05-10.

System, apparatus, and method for removing a portion of a structure

Номер патента: US11065696B1. Автор: Michael R Eliash,Marc Vento. Владелец: Individual. Дата публикации: 2021-07-20.

An opener for removing a closure from a receptacle

Номер патента: GB201217548D0. Автор: . Владелец: DESENS RICHARD. Дата публикации: 2012-11-14.

Method and apparatus for removing a stain from a target object

Номер патента: WO2012073150A2. Автор: Bart Gottenbos,Doreen Braun. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2012-06-07.

Apparatus for intense/rapid cooling and for removing a substance in suspension in a gaseous fluid and method thereof

Номер патента: WO2024170799A1. Автор: Danilo Bombardi. Владелец: Snf Group. Дата публикации: 2024-08-22.

System, apparatus, and method for removing a portion of a structure

Номер патента: WO2022165371A1. Автор: Marc Vento,Michael R. Eliash. Владелец: Eliash Michael R. Дата публикации: 2022-08-04.

System, apparatus, and method for removing a portion of a structure

Номер патента: CA3207014A1. Автор: Marc Vento,Michael R. Eliash. Владелец: Individual. Дата публикации: 2022-08-04.

System, apparatus, and method for removing a portion of a structure

Номер патента: EP4284582A1. Автор: Marc Vento,Michael R. Eliash. Владелец: Individual. Дата публикации: 2023-12-06.

System, Apparatus, and Method for Removing a Portion of a Structure

Номер патента: US20220241874A1. Автор: Marc Vento,Michael R. Eliash. Владелец: Individual. Дата публикации: 2022-08-04.

Method and machine for removing a printing film from a hollow body

Номер патента: WO2024176088A1. Автор: Tito Trevisan,Alessandro Volpato. Владелец: Atiu S.r.l.. Дата публикации: 2024-08-29.

Device and method for removing a surface layer including the skin from fish fillets

Номер патента: US09872507B2. Автор: Olaf Schwarz. Владелец: Nordischer Maschinenbau Rud Baader GmbH and Co KG. Дата публикации: 2018-01-23.

Method of removing a thrombus from a blood vessel

Номер патента: US09848975B2. Автор: David L. Hauser. Владелец: Individual. Дата публикации: 2017-12-26.

Machine for fitting/removing a tyre

Номер патента: US09724973B2. Автор: Bjoern DRESSLER. Владелец: Societa Italiana Costruzione Aeromeccaniche SICAM SRL. Дата публикации: 2017-08-08.

Method for removing a rotor bucket from a turbomachine rotor wheel

Номер патента: US09382801B2. Автор: Paul James Cassidy,Engelbert John Paauwe,Michael James Tessier. Владелец: General Electric Co. Дата публикации: 2016-07-05.

Apparatus for removing a cut chip by suction

Номер патента: US4525827A. Автор: Horst Redlich. Владелец: TELDEC Telefunken Decca Schallplatten GmbH. Дата публикации: 1985-06-25.

Method for non-contact stress evaluation of wafer gate dielectric reliability

Номер патента: US20020070675A1. Автор: Eduard Cartier,Wagdi Abadeer,James Stathis. Владелец: Individual. Дата публикации: 2002-06-13.

System and method for removing a dissolved substance from a solution

Номер патента: WO2007150027A3. Автор: Ryszard Jankowiak,Yuri Markushin. Владелец: Yuri Markushin. Дата публикации: 2008-02-21.

Apparatuses and methods for removing a component

Номер патента: EP3778124A1. Автор: Kousha Emami. Владелец: Raytheon Technologies Corp. Дата публикации: 2021-02-17.

Apparatuses and methods for removing a component

Номер патента: US20210039235A1. Автор: Kousha Emami. Владелец: Raytheon Technologies Corp. Дата публикации: 2021-02-11.

A section mill and method of removing a section of a well tubing

Номер патента: WO2019038535A1. Автор: Bruce Mcgarian. Владелец: ARDYNE HOLDINGS LIMITED. Дата публикации: 2019-02-28.

Apparatus for removing a coupler from tubing

Номер патента: US09527195B1. Автор: Thomas C. Deane. Владелец: Individual. Дата публикации: 2016-12-27.

Method of installing and removing a component of medical device

Номер патента: US7421747B2. Автор: Wolfgang Renz,Ludwig Eberler,Michael Eberler,Guenther Zebelein. Владелец: SIEMENS AG. Дата публикации: 2008-09-09.

Aparelho para remover a pele de um produto alimentício

Номер патента: BR102016021693B1. Автор: Geno N. Gasbarro. Владелец: Prime Equipment Group, LLC. Дата публикации: 2021-11-30.

Method and device for installing/removing a seal

Номер патента: US20230321802A1. Автор: Fabrice RIOUX,Bruno DROUET. Владелец: Individual. Дата публикации: 2023-10-12.

Method for removing a blind rivet element from a riveting device

Номер патента: US20170080480A1. Автор: Michael H. Honsel. Владелец: VVG Befestigungstechnik GmbH and Co. Дата публикации: 2017-03-23.

Device for arranging and removing a strip of covering material, such as film or cloth, on a trench silo

Номер патента: EP4282254A1. Автор: Peter Groenveld. Владелец: Easy Silage Holding BV. Дата публикации: 2023-11-29.

Device for installing and/or removing a steerable propulsion pod for a ship

Номер патента: US20030003820A1. Автор: Roger Courtay. Владелец: Alstom SA. Дата публикации: 2003-01-02.

Systems and methods for removing a panel insert

Номер патента: US12036642B2. Автор: John C. Osborne,Terrence C. Seet,Andrew William Mueller. Владелец: Boeing Co. Дата публикации: 2024-07-16.

Tool assembly and method for removing a component mounted to a carrier

Номер патента: EP4202183A1. Автор: Francois Massicotte,Alexandre Marsan. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2023-06-28.

A section mill and method of removing a section of a well tubing

Номер патента: EP3673143A1. Автор: Bruce Mcgarian. Владелец: Ardyne Holdings Ltd. Дата публикации: 2020-07-01.

Device for removing a prosthesis component

Номер патента: US20240277489A1. Автор: Michele Pressacco,Thomas Ferro. Владелец: LIMACORPORATE SPA. Дата публикации: 2024-08-22.

Systems and methods for removing a panel insert

Номер патента: US20240075563A1. Автор: John C. Osborne,Terrence C. Seet,Andrew William Mueller. Владелец: Boeing Co. Дата публикации: 2024-03-07.

Tool for removing a head assembly or a knot of a tie secured around an object

Номер патента: WO2020263932A9. Автор: Alan Emad Zantout,Trevor D. Fildes. Владелец: IDEAL INDUSTRIES, INC.. Дата публикации: 2021-08-12.

A method and apparatus for installing and removing a plug retainer

Номер патента: CA3140328A1. Автор: Gary Pendleton,Gary Warren STRATULATE. Владелец: Gartech LLC. Дата публикации: 2022-06-01.

A method and apparatus for installing and removing a plug retainer

Номер патента: CA3140328C. Автор: Gary Pendleton,Gary Warren STRATULATE. Владелец: Gartech LLC. Дата публикации: 2024-06-04.

Device for removing a gas from an aqueous liquid

Номер патента: CA3167907A1. Автор: Philipp Lepper,Albert Omlor. Владелец: Individual. Дата публикации: 2021-08-26.

Device for removing a prosthesis component

Номер патента: EP4417166A1. Автор: Michele Pressacco,Thomas Ferro. Владелец: LIMACORPORATE SPA. Дата публикации: 2024-08-21.

Method for removing a tatoo

Номер патента: WO2016205003A1. Автор: Leandro P. Rizzuto,Lawrence Cruz. Владелец: CONAIR CORPORATION. Дата публикации: 2016-12-22.

Removing a radioactive noble gas from a gas volume

Номер патента: US20240321472A1. Автор: Dominic MAERTENS,Thomas CARDINAELS,Hanna Skliarova,Stephan HEINITZ,Jasper MERMANS. Владелец: SCK CEN. Дата публикации: 2024-09-26.

Cutting unit and method to remove a strap from a wall of a pack

Номер патента: EP4440937A1. Автор: Giuliano Gamberini,Michele Ferrari,Stefano Romagnoli. Владелец: GD SpA. Дата публикации: 2024-10-09.

Method for removing a tattoo

Номер патента: US9724284B2. Автор: Leandro P. Rizzuto,Lawrence Cruz. Владелец: Conair Corp. Дата публикации: 2017-08-08.

Machine and method for fitting and removing a tyre

Номер патента: US09902221B2. Автор: Giulio Corghi. Владелец: Corghi SpA. Дата публикации: 2018-02-27.

Method for removing a turbofan engine using a heating device

Номер патента: US09878407B2. Автор: Fabrice Tailpied,Herve Dagron,Cedric Mustiere. Владелец: SNECMA SAS. Дата публикации: 2018-01-30.

Method for removing a tattoo

Номер патента: US09724284B2. Автор: Leandro P. Rizzuto,Lawrence Cruz. Владелец: Conair Corp. Дата публикации: 2017-08-08.

Device and method for removing a material welling out from the sea bed

Номер патента: US09677385B2. Автор: Uwe Rohde. Владелец: Individual. Дата публикации: 2017-06-13.

Tyre changing apparatus and method for removing a tyre from a corresponding wheel rim

Номер патента: US09662946B2. Автор: Giulio Corghi. Владелец: Corghi SpA. Дата публикации: 2017-05-30.

Device for removing a huber needle from a patient

Номер патента: US09662441B2. Автор: Michael J. Vaillancourt,Marshall Kerr. Владелец: Bard Access Systems Inc. Дата публикации: 2017-05-30.

Circuits and methods for removing a gain offset in a magnetic field sensor

Номер патента: US09551762B1. Автор: Juan Manuel Cesaretti. Владелец: Allegro Microsystems Inc. Дата публикации: 2017-01-24.

Method and apparatus for installing and removing a flow restrictor from a differential pressure measurement device

Номер патента: US09527171B2. Автор: Derold CLARK. Владелец: Individual. Дата публикации: 2016-12-27.

Containment apparatus and method of removing a fire sprinkler head

Номер патента: US09504864B2. Автор: Donald H. Cole, JR.. Владелец: Individual. Дата публикации: 2016-11-29.

Method to remove a tumor using a percutaneous surgical instrument

Номер патента: US09445866B2. Автор: Andre Faure. Владелец: Trod Medical. Дата публикации: 2016-09-20.

Tooling system and method to remove a prosthetic head from a stem

Номер патента: WO2017030925A1. Автор: Terry W. Wagner. Владелец: Zimmer, Inc.. Дата публикации: 2017-02-23.

Forceps for removing a contraceptive coil from the uterus

Номер патента: WO1988006867A1. Автор: Nils-Erik Norell. Владелец: Norell Nils Erik. Дата публикации: 1988-09-22.

Process for removing a dithiocarbonyl group at the end of a polymer chain

Номер патента: US20030166790A1. Автор: Wan-Li Liu,Herve Adam. Владелец: Rhodia Chimie SAS. Дата публикации: 2003-09-04.

Method for removing a support structure and tool therefor

Номер патента: US20220001472A1. Автор: Patrick Matt,Fabio Augusto Wosniak,Frank WEINGAERTNER,Mariana Cabrera. Владелец: Extrude Hone GmbH. Дата публикации: 2022-01-06.

Aqueous stripping composition for electrolytically removing a metal deposit from a substrate

Номер патента: US20240352613A1. Автор: Florence Lagorce-Broc. Владелец: Atotech Deutschland GmbH and Co KG. Дата публикации: 2024-10-24.

Plastic substrate & method of removing a mark or image therefrom

Номер патента: WO2024127011A1. Автор: Richard Cook,Jason TWEEDIE,Jonathan SNODGRASS. Владелец: DATALASE LTD.. Дата публикации: 2024-06-20.

Method and apparatus installing and removing a plug retainer

Номер патента: US11506324B2. Автор: Gary Pendleton,Gary Warren STRATULATE. Владелец: Gartech LLC. Дата публикации: 2022-11-22.

Method and apparatus installing and removing a plug retainer

Номер патента: US20220170580A1. Автор: Gary Pendleton,Gary Warren STRATULATE. Владелец: Gartech LLC. Дата публикации: 2022-06-02.

Method and apparatus for removing a deposit from the inlet duct wall of a gas cooler

Номер патента: WO1993014364A1. Автор: Matti Hiltunen,Pertti Metso,Kurt Westerlund. Владелец: A. Ahlstrom Corporation. Дата публикации: 1993-07-22.

Assembly and method for removing a device from a boiler

Номер патента: US20060032468A1. Автор: Stephen SHOVER,Clinton Brown. Владелец: Diamond Power International Inc. Дата публикации: 2006-02-16.

Apparatus for removing a sealing plug from a bore

Номер патента: GB2425084A. Автор: Richard King. Владелец: Textron Fastening Systems Ltd. Дата публикации: 2006-10-18.

Apparatus for removing a hollow article from a mandrel

Номер патента: CA1043829A. Автор: Kenneth E. Bowers. Владелец: Graham Packaging Plastic Products Inc. Дата публикации: 1978-12-05.

Method and tool for removing a tapered sleeve bolt from a component

Номер патента: CA2985750C. Автор: Jeffrey Wayne Hamilton,John Richard Hare. Владелец: Boeing Co. Дата публикации: 2022-01-18.

Apparatus for removing a pollutant from the surface of a water body

Номер патента: CA1168592A. Автор: John M. Ward,Florian C. Demny. Владелец: Shell Canada Ltd. Дата публикации: 1984-06-05.

Method of removing a film from an image carrier

Номер патента: US4975748A. Автор: Kazuyuki Sugihara,Yoshio Takamiya,Yuji Sawai,Chikara Imai,Nobuyuki Koinuma. Владелец: Ricoh Co Ltd. Дата публикации: 1990-12-04.

Method of removing a ceramic coating from a ceramic coated metallic article

Номер патента: US11926905B2. Автор: Mehrzad DELFAN-AZARI. Владелец: Rolls Royce PLC. Дата публикации: 2024-03-12.

Device for removing a stopper of a photoresist bottle

Номер патента: US20100071513A1. Автор: Ming Chou Wang. Владелец: Inotera Memories Inc. Дата публикации: 2010-03-25.

Method and pile removal tool for removing a pile from a soil

Номер патента: NL2029602B1. Автор: Dirk Van Den Dool Arie. Владелец: Iv Consult B V. Дата публикации: 2023-06-02.

Method and apparatus for removing a particle from a photolithographic mask

Номер патента: US20240077800A1. Автор: Christof Baur,Hans Hermann Pieper. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2024-03-07.

Method and apparatus for removing a particle from a photolithographic mask

Номер патента: US11899359B2. Автор: Christof Baur,Hans Hermann Pieper. Владелец: CARL ZEISS SMT GMBH. Дата публикации: 2024-02-13.

Removing tool removing a protection strip of car

Номер патента: US20080030035A1. Автор: Wen-Yuan Wang. Владелец: Double Dynasty Co Ltd. Дата публикации: 2008-02-07.

Method for removing a tattoo through patterned trans-epidermal pigment release

Номер патента: US11771877B2. Автор: Timothy N Turner,Jack H Savage,Jazz J. L. Wilkey. Владелец: Rejuvatek Medical Inc. Дата публикации: 2023-10-03.

Method, system and apparatus for removing a sample portion of a seed

Номер патента: WO2012122156A3. Автор: Jason Cope,David Kurth,Kevin OLDENBURG. Владелец: PIONEER HI-BRED INTERNATIONAL, INC.. Дата публикации: 2012-11-29.

Device, set and method for removing a sterile object from a foil bag

Номер патента: CA3206770A1. Автор: Florian Weber,Reiner Staeudle,Juergen Leidig,Alexander Ekkart. Владелец: Syntegon Technology GmbH. Дата публикации: 2024-03-16.

Device and method for removing a foil

Номер патента: EP2630044A1. Автор: Jacob Gijsbertus Bol,Stevin Steunenberg. Владелец: Ddg Beheer Bv. Дата публикации: 2013-08-28.

Method for removing a hydrocarbon production platform from sea.

Номер патента: NL2006407C2. Автор: Marinus Christiaan Lammertink. Владелец: Heerema Marine Contractors Nl. Дата публикации: 2012-09-18.

Implement for removing a fence post or the like

Номер патента: US5100104A. Автор: Ralph A. Wagner. Владелец: Individual. Дата публикации: 1992-03-31.

An arrangement and a method for inserting and removing a head of a measuring device to and from a process space

Номер патента: FI20185464A1. Автор: Harri Salo. Владелец: JANESKO Oy. Дата публикации: 2018-12-13.

Apparatus for removing a threaded cap from a container

Номер патента: US5784933A. Автор: Avram Persellin. Владелец: Individual. Дата публикации: 1998-07-28.

Apparatus for removing a deposit of chewing gum from an underlying surface

Номер патента: US5333337A. Автор: Jim Markley. Владелец: Individual. Дата публикации: 1994-08-02.

Method of, and apparatus for, temporarily removing a product from a series of products being transported

Номер патента: CA1291061C. Автор: Mathias L.C. Aarts. Владелец: Product Suppliers AG. Дата публикации: 1991-10-22.

A.beta. remover, a.beta. removing apparatus and a.beta. removal system

Номер патента: CA2748561C. Автор: Nobuya Kitaguchi,Kazunori Kawaguchi. Владелец: Kaneka Corp. Дата публикации: 2016-11-01.

A method and an apparatus for removing a glue protection at a joint in a paper reel

Номер патента: WO2009090296A1. Автор: Veli-Pekka Johansson. Владелец: Veli-Pekka Johansson. Дата публикации: 2009-07-23.

Method of removing a uranium source from a water

Номер патента: AU2022231876A1. Автор: Thorsten Muck. Владелец: Oase Pumpen Wuebker Soehne GmbH and Co Maschinenfabrik. Дата публикации: 2023-08-31.

Apparatus for removing a layer of sediment which has settled on the bottom of a large water body

Номер патента: US11851908B2. Автор: Joseph E. Kovarik,Jeff Franek. Владелец: Pond Mower LLC. Дата публикации: 2023-12-26.

Method for removing a degraded component from a hydrocarbon fluid and a porous medium for achieving the same

Номер патента: EP3969152A1. Автор: Esayas BAREGA. Владелец: Indufil BV. Дата публикации: 2022-03-23.

Apparatus for Removing a Layer of Sediment Which Has Settled on the Bottom of a Large Water Body

Номер патента: US20240102304A1. Автор: Joseph E. Kovarik. Владелец: Pond Mower LLC. Дата публикации: 2024-03-28.

Method for removing a tattoo through patterned trans-epidermal pigment release

Номер патента: US20170065362A1. Автор: Timothy N Turner,Jack H Savage,Jazz J. L. Wilkey. Владелец: Rejuvatek Medical Inc. Дата публикации: 2017-03-09.

Apparatus and Method for removing a foreign object from a rectal cavity

Номер патента: US20140343368A1. Автор: Melvin G Hector, JR.. Владелец: Individual. Дата публикации: 2014-11-20.

A machine and a method for removing a dorsal fin from a fish

Номер патента: EP4360460A1. Автор: Ellidi HREINSSON. Владелец: MAREL ICELAND EHF. Дата публикации: 2024-05-01.

Method and device for removing a chemical substance from human excreta

Номер патента: ZA202213685B. Автор: Alex HOL. Владелец: Beam Ip B V. Дата публикации: 2024-04-24.

Method and device for removing a chemical substance from human excreta

Номер патента: EP4168361A1. Автор: Alex HOL. Владелец: Beam Ip BV. Дата публикации: 2023-04-26.

Method and device for removing a chemical substance from human excreta

Номер патента: US20230233040A1. Автор: Alex HOL. Владелец: Beam Ip BV. Дата публикации: 2023-07-27.

Apparatus and method for removing a film of package of objects

Номер патента: EP2110331A3. Автор: Giuseppe Reggiani,Cesare Corrradi. Владелец: Clevertech SpA. Дата публикации: 2011-11-09.

Methods and Devices for Removing a Tissue Specimen from a Patient

Номер патента: US20240164762A1. Автор: Glenn W. Laub. Владелец: TDL Innovations LLC. Дата публикации: 2024-05-23.

Method of removing a uranium source from a water

Номер патента: EP4288389A1. Автор: Thorsten Muck. Владелец: Oase Pumpen Wuebker Soehne GmbH and Co Maschinenfabrik. Дата публикации: 2023-12-13.

Method of removing a uranium source from a water

Номер патента: US20240140838A1. Автор: Thorsten Muck. Владелец: Oase Pumpen Wuebker Soehne GmbH and Co Maschinenfabrik. Дата публикации: 2024-05-02.

Apparatus and method for removing a film of a package of objects

Номер патента: US20090261139A1. Автор: Giuseppe Reggiani,Cesare Corradi. Владелец: Clevertech SpA. Дата публикации: 2009-10-22.

Method of removing a fugitive pattern from a mold

Номер патента: CA2573043A1. Автор: John A. Redemske,Richard Ullrich. Владелец: Metal Casting Technology, Incorporated. Дата публикации: 2006-02-09.

Method for removing a gear or bearing in circular clarifiers and thickeners

Номер патента: US5481789A. Автор: John Thomas. Владелец: Envirex Inc. Дата публикации: 1996-01-09.

Apparatus and method for removing a plurality of blade dies

Номер патента: US4040161A. Автор: George W. Kelch. Владелец: United Technologies Corp. Дата публикации: 1977-08-09.

Apparatus for removing a milking cluster, particularly for a cow-shed

Номер патента: CA1277280C. Автор: Jan Kummer. Владелец: Kummer Electronics Bv. Дата публикации: 1990-12-04.

Device for removing a protective film off a sheet

Номер патента: EP2585181A1. Автор: Marco Laghi. Владелец: BRAIN PROVIDER Srl. Дата публикации: 2013-05-01.

Device for removing a protective film off a sheet

Номер патента: WO2011148401A1. Автор: Marco Laghi. Владелец: Brain Provider S.R.L.. Дата публикации: 2011-12-01.

Device to trap and remove a liquid present in a circuit for ventilation of a patient

Номер патента: WO2021001777A1. Автор: Nicola BASSANI. Владелец: GVS S.p.A.. Дата публикации: 2021-01-07.

De-taping machine and method for removing a tape of a lens-mold-assembly

Номер патента: US11938695B2. Автор: François OLIVIERI. Владелец: Essilor International Compagnie Generale dOptique SA. Дата публикации: 2024-03-26.

Method and device for removing a unit load from a stack

Номер патента: CA3238079A1. Автор: Carmen TUDOR,Dominique WYSS. Владелец: TGW Logistics Group GmbH. Дата публикации: 2023-05-19.

Instrument for removing a deposit from an eye and relative disposable kit

Номер патента: CA3237672A1. Автор: Carmine PONTECORVO. Владелец: Iromed Group Srl. Дата публикации: 2023-05-11.

Process and device for removing a moulded pot from a mould

Номер патента: CA2071640C. Автор: Richard Herbst. Владелец: Individual. Дата публикации: 1999-07-27.

Method for removing a diffusion coating from a nickel base alloy

Номер патента: US5728227A. Автор: Jeffrey J. Reverman. Владелец: General Electric Co. Дата публикации: 1998-03-17.

A device and a method for removing a liquid from a water surface

Номер патента: CA2803024C. Автор: Johann Fagraklett,Grettir Hammer. Владелец: GREEN IQ. Дата публикации: 2019-01-08.

Method and apparatus for removing a tube from a hole in a plate

Номер патента: GB2028195A. Автор: . Владелец: MAN Maschinenfabrik Augsburg Nuernberg AG. Дата публикации: 1980-03-05.

Method and apparatus for removing a hide from a back portion and tail of a carcass

Номер патента: USRE36645E. Автор: Albert D. Davis. Владелец: Monfort Inc. Дата публикации: 2000-04-04.

Method of removing a minable product from an underground seam

Номер патента: CA2176100C. Автор: Daryl L. Jackson. Владелец: Individual. Дата публикации: 2007-04-17.

System and methods for removing a loose tooth

Номер патента: US20110281234A1. Автор: Colton Crane. Владелец: Individual. Дата публикации: 2011-11-17.

Device for removing a needle shield from a syringe and method of using same

Номер патента: WO2012082818A3. Автор: Brian Costello,Christopher Evans. Владелец: WEST PHARMACEUTICAL SERVICES, INC.. Дата публикации: 2012-08-16.

Apparatus for removing a fiber layer from a rotating disc filter

Номер патента: CA1216527A. Автор: Kent Strid,Samuel Radgnegdrd,Goran Sundkvist. Владелец: A B HEDEMORA VERKSTADER. Дата публикации: 1987-01-13.

Method of removing a collet from a retainer

Номер патента: US5001823A. Автор: Ted R. Massa,David R. Siddle. Владелец: Kennametal Inc. Дата публикации: 1991-03-26.

Method and apparatus for removing a hide from a back portion and tail of a carcass

Номер патента: US5180328A. Автор: Albert D. Davis. Владелец: Monfort Inc. Дата публикации: 1993-01-19.

Method of removing a platform support post

Номер патента: EP2362022A2. Автор: designation of the inventor has not yet been filed The. Владелец: Anadarko Petroleum Corp. Дата публикации: 2011-08-31.

System and method for removing a volatile component from a matrix

Номер патента: WO1992018214A2. Автор: Mark M. Mccabe,Benjamin S. Dowd. Владелец: Remediation Technologies, Inc.. Дата публикации: 1992-10-29.

Composition for removing a tattoo

Номер патента: CA2683769C. Автор: Lothar Schibilla. Владелец: Sk Ageless Concept GmbH. Дата публикации: 2013-07-02.

Method for removing a diffusion coating from a nickel base alloy

Номер патента: CA2204812C. Автор: Jeffrey J. Reverman. Владелец: General Electric Co. Дата публикации: 2006-07-18.

Method and apparatus for removing a rod from tissue of an organism

Номер патента: EP1003434A1. Автор: Theodore I. Macey. Владелец: Individual. Дата публикации: 2000-05-31.

Composition and method for removing a coating from a surface

Номер патента: CA3033906C. Автор: Gregory MOROSE. Владелец: University of Massachusetts UMass. Дата публикации: 2024-03-05.

Device and method for removing a liquid from a container having a depressible valve

Номер патента: US20230391129A1. Автор: Nathan Sperlik. Владелец: Sophisticated Solutions LLC. Дата публикации: 2023-12-07.

De-taping machine and method for removing a tape of a lens-mold-assembly

Номер патента: US20210339489A1. Автор: François OLIVIERI. Владелец: Essilor International Compagnie Generale dOptique SA. Дата публикации: 2021-11-04.

Method for removing a twist-module sub-assembly in a knotter assembly

Номер патента: US20140230217A1. Автор: Craig V. Millett,J. Scott Giett,Brian C. Edgar. Владелец: L&P Property Management Co. Дата публикации: 2014-08-21.

Apparatus and method for removing a component from a solution

Номер патента: EP3893859A1. Автор: George Stantchev. Владелец: Individual. Дата публикации: 2021-10-20.

Cleaning device for removing a developer from the surface of an image bearing member and process cartridge

Номер патента: US20130108321A1. Автор: Masao Uyama. Владелец: Canon Inc. Дата публикации: 2013-05-02.

Medical instrument and procedure for removing a food mass lodged in the esophagus

Номер патента: WO2019032426A1. Автор: Alma JENKINS. Владелец: Jenkins Alma. Дата публикации: 2019-02-14.

Method for removing a metal insert

Номер патента: EP4316785A1. Автор: Hendrik Klein,Benedikt Haspel,Kai Ehrich. Владелец: Nordex Energy SE and Co KG. Дата публикации: 2024-02-07.

Method for removing a metal insert

Номер патента: WO2024027997A1. Автор: Hendrik Klein,Benedikt Haspel,Kai Ehrich. Владелец: Nordex Energy Se & Co. Kg. Дата публикации: 2024-02-08.

Method for removing a blind rivet in a workpiece arrangement

Номер патента: US11801549B2. Автор: Alexander Schäfer,Jörn Skirke. Владелец: AIRBUS OPERATIONS GMBH. Дата публикации: 2023-10-31.

Device and method of removing a liquid from a container having a depressible valve

Номер патента: WO2023240080A1. Автор: Nathan Sperlik. Владелец: Sophisticated Solutions, Llc. Дата публикации: 2023-12-14.

Method for jointly fitting or removing a piston and a cylinder liner

Номер патента: US20070143998A1. Автор: Kurt Stauder. Владелец: Individual. Дата публикации: 2007-06-28.

Support for connecting or removing a valve or fitting from a flange

Номер патента: US20160252193A1. Автор: James COURTENAY. Владелец: Courtenay Exploration Inc. Дата публикации: 2016-09-01.

Machine and a method for removing a dorsal fin from a fish

Номер патента: US20240138426A1. Автор: Ellidi HREINSSON. Владелец: MAREL ICELAND EHF. Дата публикации: 2024-05-02.

Methods and apparatus for removing a slug from a frame of an automobile

Номер патента: US20020100170A1. Автор: Martin Macdonald,Thomas Nolen Whetstone. Владелец: Motors Liquidation Co. Дата публикации: 2002-08-01.

Apparatus for removing a hook with a barb

Номер патента: US20230397922A1. Автор: David GEARWAR. Владелец: Gearwar LLC. Дата публикации: 2023-12-14.

System and method for removing a storage server in a distributed column chunk data store

Номер патента: US20090287743A1. Автор: Radha Krishna Uppala. Владелец: Yahoo Inc until 2017. Дата публикации: 2009-11-19.

Surgical tool for removing a block of tissue from an organ

Номер патента: EP2152174A2. Автор: Jacob Dan. Владелец: Dot Dan Ophthalmic Technologies Ltd. Дата публикации: 2010-02-17.

Surgical Tool For Removing A Block Of Tissue From An Organ

Номер патента: US20080281342A1. Автор: Jacob Dan. Владелец: D O T Dan Ophthalmic Tech Ltd. Дата публикации: 2008-11-13.

Device and method for electrochemically removing a surface of a component

Номер патента: US20120103830A1. Автор: Albin Platz,Roland Huttner. Владелец: MTU AERO ENGINES GMBH. Дата публикации: 2012-05-03.

Apparatus for removing a fastener from a workpiece

Номер патента: US20040187284A1. Автор: Scott Maxwell,Dale Smith,Raymond Draggie. Владелец: Boeing Co. Дата публикации: 2004-09-30.

Apparatus and method for inserting and removing a flexible first material into a second material

Номер патента: EP1343994A1. Автор: John Forrest. Владелец: Longbore Inc. Дата публикации: 2003-09-17.

Method for Removing a Foulant from a Gas Stream with Minimal External Refrigeration

Номер патента: US20180252469A1. Автор: Larry Baxter,Christopher Hoeger,Eric Mansfield. Владелец: Individual. Дата публикации: 2018-09-06.

Device for removing a wear member

Номер патента: US11959258B2. Автор: Quintin Nienaber,Ben Plant,Ian ASHBY,David VANDERSEE. Владелец: CQMS PTY LTD. Дата публикации: 2024-04-16.

Device and method for removing a workpiece part from the rest of the workpiece

Номер патента: US20200016647A1. Автор: Magnus Deiss. Владелец: Trumpf Werkzeugmaschinen SE and Co KG. Дата публикации: 2020-01-16.

Device to trap and remove a liquid present in a circuit for ventilation of a patient

Номер патента: EP3993861A1. Автор: Nicola BASSANI. Владелец: GVS SpA. Дата публикации: 2022-05-11.

Device to trap and remove a liquid present in a circuit for ventilation of a patient

Номер патента: AU2020298866A1. Автор: Nicola BASSANI. Владелец: GVS SpA. Дата публикации: 2021-12-02.

Device and Method for Removing a Pool or Deck Cover Anchor

Номер патента: US20140250655A1. Автор: Vernon Eugene Arivett. Владелец: Individual. Дата публикации: 2014-09-11.

Photoresist stripper and a method of removing a photoresist

Номер патента: MY130894A. Автор: Takashi Takeda,Akio Arano. Владелец: Az Electronic Materials Japan. Дата публикации: 2007-07-31.

Biofilm removing agent for removing a biofilm

Номер патента: US20050019274A1. Автор: Pao-Li Wang. Владелец: Matsumoto Dental University. Дата публикации: 2005-01-27.

Method for removing a degraded component from a hydrocarbon fluid and a porous medium for achieving the same

Номер патента: US12017203B2. Автор: Esayas BAREGA. Владелец: Indufil BV. Дата публикации: 2024-06-25.

Apparatus, system, and method for degrading and removing a paved surface

Номер патента: US7387465B2. Автор: David R. Hall,Joe Fox. Владелец: Joe Fox. Дата публикации: 2008-06-17.

Device for removing a flexible cap from a cylindrical neck

Номер патента: US4595111A. Автор: Jerome Gould,Thomas W. Kellogg. Владелец: Dulux Australia Ltd. Дата публикации: 1986-06-17.

Methods and systems for removing a histological stain from a sample

Номер патента: WO2023235284A1. Автор: Edyta Krzymanska-Olejnik,Kevin Hwang,Grace Elizabeth VEZEAU. Владелец: Ultivue, Inc.. Дата публикации: 2023-12-07.

Methods and Systems for Removing a Histological Stain From a Sample

Номер патента: US20230408382A1. Автор: Edyta Krzymanska-Olejnik,Kevin Hwang,Grace Elizabeth VEZEAU. Владелец: Ultivue Inc. Дата публикации: 2023-12-21.

Apparatus and method for removing a component from a solution

Номер патента: US20200131146A1. Автор: George Stantchev. Владелец: Individual. Дата публикации: 2020-04-30.

Method and device for removing a toxic substance from blood

Номер патента: US5397354A. Автор: Peter J. Wilk,Steven J. Gruber. Владелец: Individual. Дата публикации: 1995-03-14.

Apparatus for removing a layer of sediment which has settled on the bottom of a pond

Номер патента: US9016290B2. Автор: Joseph E. Kovarik,Jeff Franek. Владелец: Individual. Дата публикации: 2015-04-28.

Apparatus and method for removing a target object from a body passsageway

Номер патента: US4790812A. Автор: Irvin F. Hawkins, Jr.,Mark C. Hawkins. Владелец: Individual. Дата публикации: 1988-12-13.

Apparatus and method for removing a release sheet from a workpiece

Номер патента: CA2052627C. Автор: Gary Lee Tveit,Terence John Fitzgerald,Edward Lynn Nageldinger. Владелец: Eastman Kodak Co. Дата публикации: 1997-12-09.

Method of removing a liner of a piston cylinder

Номер патента: CA2300619A1. Автор: Alain Laforest. Владелец: Individual. Дата публикации: 2001-09-10.

Punch for removing a desired segment from a paper record

Номер патента: US3762258A. Автор: L Bender. Владелец: Individual. Дата публикации: 1973-10-02.

Method for removing a surface layer from a metal fluoride glass

Номер патента: US4631114A. Автор: Hartmut Schneider. Владелец: SIEMENS AG. Дата публикации: 1986-12-23.

Methods of removing a wellbore isolation device using a eutectic composition

Номер патента: CA2869855A1. Автор: Syed Hamid,Michael L. Fripp,Pete Dagenais. Владелец: Halliburton Energy Services Inc. Дата публикации: 2013-12-14.

Device for installing and removing a roller supporting a bearing assembly

Номер патента: US20070107210A1. Автор: Karl Keller,Kanrad Roeingh. Владелец: Individual. Дата публикации: 2007-05-17.

Method of removing a liner of a piston cylinder

Номер патента: CA2300619C. Автор: Alain Laforest. Владелец: Individual. Дата публикации: 2005-11-01.

Apparatus and method for removing a bolt from an assembly

Номер патента: US7077037B2. Автор: Michael Shevela,Richard W. Mills. Владелец: SPX Corp. Дата публикации: 2006-07-18.

Apparatus for removing a flexible floor covering from a floor

Номер патента: CA2541451C. Автор: Raynald Goupil. Владелец: Individual. Дата публикации: 2013-11-12.

Device for removing a tick

Номер патента: EP1424917B1. Автор: Per Kirkegaard. Владелец: Safecard ApS. Дата публикации: 2007-08-29.

Methods of removing a wellbore isolation device using a eutectic composition

Номер патента: CA2869855C. Автор: Syed Hamid,Michael L. Fripp,Pete Dagenais. Владелец: Halliburton Energy Services Inc. Дата публикации: 2018-06-12.

Hand tool with detachable structure for removing a head portion from handle

Номер патента: US20090241739A1. Автор: Chih-Ching Hsieh. Владелец: Individual. Дата публикации: 2009-10-01.

Method for removing a follower plate from a container

Номер патента: US11772110B2. Автор: Patrick Scholl,Thomas Fippl. Владелец: Atlas Copco IAS GmbH. Дата публикации: 2023-10-03.

Method for removing a follower plate from a container

Номер патента: US20210046493A1. Автор: Patrick Scholl,Thomas Fippl. Владелец: Atlas Copco IAS GmbH. Дата публикации: 2021-02-18.

Tool assembly and method for removing a component mounted to a carrier

Номер патента: CA3185325A1. Автор: Francois Massicotte,Alexandre Marsan. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2023-06-27.

Tool assembly and method for removing a component mounted to a carrier

Номер патента: US11883934B2. Автор: Francois Massicotte,Alexandre Marsan. Владелец: Pratt and Whitney Canada Corp. Дата публикации: 2024-01-30.

Hand-held machine for removing a friction-welded element from a component assembly

Номер патента: CA3219016A1. Автор: Toni Mueller,Marco Werkmeister. Владелец: Ejot SE and Co KG. Дата публикации: 2022-11-24.

Method for removing a filter cake from a filtering device

Номер патента: US20130313207A1. Автор: Harald Schmidt,Frank Jonigkeit. Владелец: ETS Trade Sarl. Дата публикации: 2013-11-28.

Method and installation for removing a gas from a flow of a gas mixture

Номер патента: US20220176315A1. Автор: Claes Inge,Peter Franzen,Carl Petrus Häggmark. Владелец: 3nine AB. Дата публикации: 2022-06-09.

Method for removing a blind rivet element from a riveting device

Номер патента: US10220436B2. Автор: Michael H. Honsel. Владелец: VVG Befestigungstechnik GmbH and Co. Дата публикации: 2019-03-05.

Tool for removing a cap strip from a row of sample wells of a tube strip or a microplate and use of such a tool

Номер патента: FI130429B. Автор: Arto Isotalo. Владелец: THERMO FISHER SCIENTIFIC OY. Дата публикации: 2023-08-24.

Device and method for removing a low emission layer from a glass panel

Номер патента: EP3744477A1. Автор: Miguel Tomas Martin. Владелец: Tur & Development Sl. Дата публикации: 2020-12-02.

Apparatus and a method for removing a wrapping film from a pack of objects

Номер патента: US8997443B2. Автор: Cesare Corradi. Владелец: Clevertech SpA. Дата публикации: 2015-04-07.

Method for removing a nitrogen oxide from a gas

Номер патента: EP1492611A1. Автор: Gerrald Bargeman,Peter Jan Nieuwenhuizen,Marco Johannes Wilhelmus Frank. Владелец: Akzo Nobel NV. Дата публикации: 2005-01-05.

A base for supporting a portable crane and a method for removing a main bearing of a wind turbine

Номер патента: CA3218910A1. Автор: Per Eske Fenger. Владелец: LIFTRA IP ApS. Дата публикации: 2022-11-10.

A base for supporting a portable crane and a method for removing a main bearing of a wind turbine

Номер патента: EP4334232A1. Автор: Per Eske Fenger. Владелец: LIFTRA IP ApS. Дата публикации: 2024-03-13.

SCAVENGING METAL STACK FOR A HIGH-K GATE DIELECTRIC

Номер патента: US20140001573A1. Автор: Ando Takashi,Kwon Unoh,Narayanan Vijay,Schaeffer James K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-01-02.

SCAVENGING METAL STACK FOR A HIGH-K GATE DIELECTRIC

Номер патента: US20140004695A1. Автор: Ando Takashi,Kwon Unoh,Narayanan Vijay,Schaeffer James K.. Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2014-01-02.

METHOD FOR REMOVING POLYMER AFTER ETCHING GATE STACK STRUCTURE OF HIGH-K GATE DIELECTRIC/METAL GATE

Номер патента: US20120115321A1. Автор: Xu Qiuxia,Li Yongliang. Владелец: . Дата публикации: 2012-05-10.

Superior Integrity of a High-K Gate Stack by Forming a Controlled Undercut on the Basis of a Wet Chemistry

Номер патента: US20120086056A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-04-12.

SELF-ALIGNED CONTACT COMBINED WITH A REPLACEMENT METAL GATE/HIGH-K GATE DIELECTRIC

Номер патента: US20120139062A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-06-07.

PASSIVATING POINT DEFECTS IN HIGH-K GATE DIELECTRIC LAYERS DURING GATE STACK FORMATION

Номер патента: US20130267086A1. Автор: Trentzsch Martin,Carter Richard J.,Erben Elke. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2013-10-10.

CMOS Transistor With Dual High-k Gate Dielectric and Method of Manufacture Thereof

Номер патента: US20120193725A1. Автор: . Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-08-02.

CMOS Transistor With Dual High-k Gate Dielectric

Номер патента: US20120199914A1. Автор: . Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2012-08-09.

HIGH-K GATE DIELECTRIC MATERIAL AND METHOD FOR PREPARING THE SAME

Номер патента: US20120261803A1. Автор: Zhao Chao,Chen Dapeng,Wang Wenwu,Han Kai. Владелец: . Дата публикации: 2012-10-18.

Reliability of high-K gate dielectric layers

Номер патента: US20120286372A1. Автор: . Владелец: . Дата публикации: 2012-11-15.

DIFFUSED CAP LAYERS FOR MODIFYING HIGH-K GATE DIELECTRICS AND INTERFACE LAYERS

Номер патента: US20130052814A1. Автор: Clark Robert D.. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2013-02-28.

MODIFIED HIGH-K GATE DIELECTRIC STACK

Номер патента: US20130328137A1. Автор: HEGDE RAMA I.. Владелец: Freescale Semiconductor, Inc.. Дата публикации: 2013-12-12.

METHOD FOR FABRICATING A HIGH-K METAL GATE MOS

Номер патента: US20120164824A1. Автор: JIANG LI,Li Mingqi. Владелец: Semiconductor Manufacturing International (Shanghai) Corporation. Дата публикации: 2012-06-28.

Non-volatile Memory Cell Having A High K Dielectric And Metal Gate

Номер патента: US20130032872A1. Автор: KOTOV Alexander,Su Chien-Sheng. Владелец: . Дата публикации: 2013-02-07.

CREATING AN EMBEDDED RERAM MEMORY FROM A HIGH-K METAL GATE TRANSISTOR STRUCTURE

Номер патента: US20130221317A1. Автор: Chiang Tony P.,Pramanik Dipankar,Lazosky David. Владелец: Intermolecular, Inc. Дата публикации: 2013-08-29.

INTEGRATING FORMATION OF A REPLACEMENT GATE TRANSISTOR AND A NON-VOLATILE MEMORY CELL USING A HIGH-K DIELECTRIC

Номер патента: US20130330893A1. Автор: Shroff Mehul D.,HALL MARK D.. Владелец: . Дата публикации: 2013-12-12.

Method and system for etching a high-k dielectric material

Номер патента: TW200426941A. Автор: Lee Chen,Hiromitsu Kambara,Masaaki Hagihara,Nobuhiro Iwama,Hiromasa Mochiki,Meiki Koh. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-12-01.

INVERSION THICKNESS REDUCTION IN HIGH-K GATE STACKS FORMED BY REPLACEMENT GATE PROCESSES

Номер патента: US20120280288A1. Автор: . Владелец: INTERNATIONAL BUSINESS MACHINES CORPORATION. Дата публикации: 2012-11-08.

Safe and quick bottle opener form removing a cork stopper

Номер патента: SG128419A1. Автор: Chia-Hsien Lin,I-Pin Chen. Владелец: I-Pin Chen. Дата публикации: 2007-01-30.

Method for removing a consumable downhole tool

Номер патента: CA2686746C. Автор: Michael C. Robertson. Владелец: Robertson Intellectual Properties LLC. Дата публикации: 2016-11-29.

Method and apparatus for removing a tillage tool from a shank

Номер патента: CA1272903A. Автор: David Hoffer Bucher. Владелец: Deere and Co. Дата публикации: 1990-08-21.