• Главная
  • METHOD OF FORMING PATTERN OF SEMICONDUCTOR DEVICE FROM WHICH VARIOUS TYPES OF PATTERN DEFECTS ARE REMOVED

METHOD OF FORMING PATTERN OF SEMICONDUCTOR DEVICE FROM WHICH VARIOUS TYPES OF PATTERN DEFECTS ARE REMOVED

Вам могут быть интересны следующие патенты

Рисунок 1. Взаимосвязь патентов (ближайшие 20).

Leakage analysis on semiconductor device

Номер патента: US20210264093A1. Автор: Chung-Hsing Wang,Yuan-Te Hou,Yun-Xiang LIN,Cheng-Hua Liu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2021-08-26.

Manufacturing method of semiconductor device

Номер патента: US20240054276A1. Автор: Joongwon Jeon,Subin KIM,Jaehyun KANG,Junsu Jeon,Byungmoo KIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-02-15.

Manufacturing method of a tray, a socket for inspection, and a semiconductor device

Номер патента: US20050202597A1. Автор: Noriyuki Takahashi. Владелец: Renesas Technology Corp. Дата публикации: 2005-09-15.

Method of forming a mask pattern for fabricating a semiconductor device

Номер патента: US7988873B2. Автор: Sang-Hyeop Lee,Hyong-Soo Kim. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2011-08-02.

Method of fabricating specimen for analyzing defects of semiconductor device

Номер патента: US5840205A. Автор: Doo-Jin Park,Jeong-Hoi Koo. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-11-24.

Magnetic cell structures, and methods of fabrication

Номер патента: US09768377B2. Автор: Wei Chen,Sunil Murthy,Jonathan D. Harms. Владелец: Micron Technology Inc. Дата публикации: 2017-09-19.

Manufacturing method of printed circuit board using dry film resist

Номер патента: US20020116815A1. Автор: Jang Kim,Jun Choi,Kook Han. Владелец: KOLON INDUSTRIES INC. Дата публикации: 2002-08-29.

Semiconductor memory device, method of manufacturing the same, and cell array of semiconductor memory device

Номер патента: US20110157979A1. Автор: Sang Woo Nam. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2011-06-30.

Manufacturing method of small photoresist pattern using thermal flow process for semiconductor device

Номер патента: KR100510448B1. Автор: 김창환,이중현. Владелец: 삼성전자주식회사. Дата публикации: 2005-10-21.

Method of electrophotographically manufacturing a display screen of a colour television display tube

Номер патента: GB1358147A. Автор: . Владелец: Philips Electronic and Associated Industries Ltd. Дата публикации: 1974-06-26.

Method of Forming Patterns of Semiconductor Device

Номер патента: US20100323520A1. Автор: Tae Kyung Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2010-12-23.

Method of Forming Patterns of Semiconductor Device

Номер патента: US20120086134A1. Автор: Tae Kyung Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-04-12.

Method of forming pattern of semiconductor device

Номер патента: US20150118852A1. Автор: Yool Kang,Hyung-Rae Lee,Seong-Ji Kwon. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2015-04-30.

Methods of forming patterns of semiconductor devices

Номер патента: US09779941B2. Автор: Seok-Han Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-10-03.

Methods of forming patterns for semiconductor device structures

Номер патента: US20140205952A1. Автор: Yuan He,Scott Light,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2014-07-24.

Methods of forming patterns for semiconductor device structures

Номер патента: US20160048074A1. Автор: Scott L. Light,Yuan He,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2016-02-18.

Methods of forming patterns for semiconductor device structures

Номер патента: US09465287B2. Автор: Scott L. Light,Yuan He,Michael Hyatt,Michael A. Many. Владелец: Micron Technology Inc. Дата публикации: 2016-10-11.

Fabrication method of semiconductor device and test method of semiconductor device

Номер патента: US20220301948A1. Автор: Atsushi Shoji,Soichi Yoshida. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-09-22.

Methods of forming patterns with block copolymer

Номер патента: US09640399B2. Автор: Cheol Kyu Bok,Keun Do Ban,Jung Gun Heo,Hong Ik Kim. Владелец: SK hynix Inc. Дата публикации: 2017-05-02.

Manufacturing method of semiconductor device and semiconductor device corresponding to loop back test

Номер патента: US20070245179A1. Автор: Hiroshi Noda. Владелец: Renesas Technology Corp. Дата публикации: 2007-10-18.

Method for transfer of semiconductor devices

Номер патента: US09871023B2. Автор: Andrew Huska,Cody Peterson,Clinton Adams,Sean Kupcow. Владелец: Rohinni LLC. Дата публикации: 2018-01-16.

Method of forming pattern and method of producing solid-state image pickup device

Номер патента: US20110300662A1. Автор: Kyouhei Watanabe. Владелец: Canon Inc. Дата публикации: 2011-12-08.

Methods of forming patterns having different shapes

Номер патента: US09721795B2. Автор: Sung Kwang Kim,Jung Hyung Lee,You Song Kim,Jong Cheon Park. Владелец: SK hynix Inc. Дата публикации: 2017-08-01.

Method of and device for automatically recognizing position of semiconductor device

Номер патента: JPS54139471A. Автор: Deemensu Giyuntaa. Владелец: SIEMENS AG. Дата публикации: 1979-10-29.

Methods of Forming Patterns with Multiple Layers for Semiconductor Devices

Номер патента: US20170125256A1. Автор: Hong-Rae Kim,Jun-Soo Lee,Jeon-Il Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-04.

Method of forming fine island patterns of semiconductor devices

Номер патента: US20190074182A1. Автор: Shing-Yih Shih,Chiang-Lin Shih. Владелец: Nanya Technology Corp. Дата публикации: 2019-03-07.

Method of forming a groove-like area in a semiconductor device

Номер патента: US20020076898A1. Автор: Hirokazu Fujimaki. Владелец: Oki Electric Industry Co Ltd. Дата публикации: 2002-06-20.

Method of forming a metal interconnection line in a semiconductor device using an FSG layer

Номер патента: US7413972B2. Автор: Hee-Dae Kim. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2008-08-19.

Method of forming a metal interconnection line in a semiconductor device using an FSG layer

Номер патента: US20060134900A1. Автор: Hee-Dae Kim. Владелец: DongbuAnam Semiconductor Inc. Дата публикации: 2006-06-22.

Method of forming a conductive line pattern in FinFET semiconductor devices

Номер патента: US09559015B2. Автор: Koichiro Tsujita. Владелец: Canon Inc. Дата публикации: 2017-01-31.

Methods of forming patterns with multiple layers for semiconductor devices

Номер патента: US10014181B2. Автор: Hong-Rae Kim,Jun-Soo Lee,Jeon-Il Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-07-03.

Method of forming a wrap-around contact on a semiconductor device

Номер патента: US09704744B2. Автор: Daniel Bergstrom,Ralph T Troeger,Jeffrey S Leib. Владелец: Intel Corp. Дата публикации: 2017-07-11.

Method of forming closely spaced metal electrodes in a semiconductor device

Номер патента: US5486483A. Автор: Michael D. Lammert. Владелец: TRW Inc. Дата публикации: 1996-01-23.

Method of forming dense hole patterns of semiconductor devices

Номер патента: US20190074187A1. Автор: Shing-Yih Shih,Jen-Jui HUANG. Владелец: Nanya Technology Corp. Дата публикации: 2019-03-07.

Method of manufacturing an insulation layer on silicon carbide and semiconductor device

Номер патента: EP3516682A1. Автор: Yuji Komatsu. Владелец: ZF FRIEDRICHSHAFEN AG. Дата публикации: 2019-07-31.

Method of fabricating an electrical contact for use on a semiconductor device

Номер патента: US09934978B2. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2018-04-03.

Method of forming a protective coating for a packaged semiconductor device

Номер патента: US9561953B1. Автор: Klaus Elian,Horst Theuss. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-02-07.

Method of forming a raised source/drain and a semiconductor device employing the same

Номер патента: US20050247983A1. Автор: Steve Ting. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-11-10.

Method of forming an element isolation film of a semiconductor device

Номер патента: US7235458B2. Автор: Young Ho Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-06-26.

Method of forming a fine pattern of a semiconductor device

Номер патента: US20140162427A1. Автор: Byoung-Yong Gwak. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-06-12.

Method of forming a porous material layer in a semiconductor device

Номер патента: US20050118782A1. Автор: Young-Nam Kim,Jin-Sung Kim,Sun-Young Lee,Hyun-dam Jeong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2005-06-02.

Method of forming a wrap-around contact on a semiconductor device

Номер патента: US20170309516A1. Автор: Daniel Bergstrom,Jeffrey S. LEIB,Ralph T. TROEGER. Владелец: Intel Corp. Дата публикации: 2017-10-26.

Method of forming a low-ohmic contact to a semiconductor device

Номер патента: US3731372A. Автор: W Kraft. Владелец: Deutsche ITT Industries GmbH. Дата публикации: 1973-05-08.

Method of packaging multiple integrated circuit chips in a standard semiconductor device package

Номер патента: WO1997037374A3. Автор: Dennis J Herrell. Владелец: Advanced Micro Devices Inc. Дата публикации: 1997-11-20.

Method of Fabricating an Electrical Contact for Use on a Semiconductor Device

Номер патента: US20170047228A1. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-02-16.

Method of manufacturing semiconductor chip including forming dicing grooves and semiconductor device

Номер патента: US20240079272A1. Автор: Jong Su Kim,Byung Cheol Lee. Владелец: SK hynix Inc. Дата публикации: 2024-03-07.

Method for performing double clustering to evaluate placement of semiconductor devices

Номер патента: US12008297B1. Автор: Seungju KIM,Wooshik MYUNG,Jiyoon LIM,Wonjun Yoo. Владелец: MakinaRocks Co Ltd. Дата публикации: 2024-06-11.

Agnostic Model of Semiconductor Devices and Related Methods

Номер патента: US20190057175A1. Автор: James Joseph Victory,Mehrdad Baghaie Yazdi. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2019-02-21.

Manufacturing method of an electronic device

Номер патента: US20240202412A1. Автор: Nobuyuki Ito,Atsushi Uemura,Kazuo Otoge. Владелец: Renesas Electronics Corp. Дата публикации: 2024-06-20.

Storage device and method of operating the same

Номер патента: US20190065113A1. Автор: Jin Soo Kim,Soong Sun SHIN. Владелец: SK hynix Inc. Дата публикации: 2019-02-28.

Storage device and method of operating the same

Номер патента: US20200065031A1. Автор: Jin Soo Kim,Soong Sun SHIN. Владелец: SK hynix Inc. Дата публикации: 2020-02-27.

Storage device and method of operating the same

Номер патента: US10754586B2. Автор: Jin Soo Kim,Soong Sun SHIN. Владелец: SK hynix Inc. Дата публикации: 2020-08-25.

Method for placing operational cells in a semiconductor device

Номер патента: US20140351781A1. Автор: Michael Priel,Anton Rozen,Asher BERKOVITZ. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2014-11-27.

Method of forming a bezel pattern of a display panel

Номер патента: EP2874137B1. Автор: Seung Heon Lee,JooYeon KIM,Jiehyun Seong. Владелец: LG Chem Ltd. Дата публикации: 2021-03-03.

Semiconductor device and method of aligning semiconductor wafers for bonding

Номер патента: US09852972B2. Автор: Michael J. Seddon,Francis J. Carney. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2017-12-26.

Semiconductor device and manufacturing method thereof

Номер патента: US11887935B2. Автор: Takehiro Ueda. Владелец: Renesas Electronics Corp. Дата публикации: 2024-01-30.

Semiconductor device and manufacturing method thereof

Номер патента: EP4102557A1. Автор: Takehiro Ueda. Владелец: Renesas Electronics Corp. Дата публикации: 2022-12-14.

Method of reducing focusing error of exposure process in a semiconductor device

Номер патента: TW408366B. Автор: Ho-Young Kang,Jung-Hyeon Lee,Jin-Seog Hong. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2000-10-11.

Method of testing for power and ground continuity of a semiconductor device

Номер патента: US20070200586A1. Автор: Vivien Wong,Wai Phoon,Wah Tan. Владелец: Individual. Дата публикации: 2007-08-30.

Method of testing for power and ground continuity of a semiconductor device

Номер патента: MY139152A. Автор: Vivien Wong,Wai Khuin Phoon,Wah Yew Tan. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2009-08-28.

Method of forming electrode pattern of surface acoustic wave device

Номер патента: GB2381596A. Автор: Kenji Sakaguchi,Toshiyuki Fuyutsume. Владелец: Murata Manufacturing Co Ltd. Дата публикации: 2003-05-07.

Method of manufacturing display device

Номер патента: US20240049585A1. Автор: Makoto Kitagawa,Jun Sakuma,Yasushi Asaoka. Владелец: Sharp Corp. Дата публикации: 2024-02-08.

Methods and systems for managing memory blocks of semiconductor devices in embedded systems

Номер патента: US20180039716A1. Автор: KODAVALLA Vijay Kumar. Владелец: Wipro Ltd. Дата публикации: 2018-02-08.

Manufacturing method of semiconductor device

Номер патента: US09899275B2. Автор: Kenzo Naito. Владелец: Renesas Electronics Corp. Дата публикации: 2018-02-20.

Semiconductor and method of fabricating the same

Номер патента: US20210343598A1. Автор: Young Bae Kim,Kwang Il Kim. Владелец: Key Foundry Co Ltd. Дата публикации: 2021-11-04.

Isolation structure of semiconductor device

Номер патента: US09786543B2. Автор: Clement Hsingjen Wann,Chih-Hsin Ko,Cheng-Hsien Wu,Shu-Han Chen. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-10-10.

Method of connecting multilevel semiconductor devices

Номер патента: RU2629904C2. Автор: Цзюньфэн ЧЖАО. Владелец: Интел Корпорейшн. Дата публикации: 2017-09-04.

Semiconductor devices and methods of forming the same

Номер патента: US20140124854A1. Автор: Jongchul Park,Ji-Young Min,Heedon Hwang,Insang JEON,Woogwan SHIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-05-08.

Method of forming copper indium gallium containing precursors and semiconductor compound layers

Номер патента: WO2007092293A3. Автор: Bulent M Basol. Владелец: Bulent M Basol. Дата публикации: 2008-01-03.

Semiconductor device having mirror-symmetric terminals and methods of forming the same

Номер патента: US09673135B2. Автор: Wei Zhang,John D. Weld,Douglas Dean Lopata. Владелец: Altera Corp. Дата публикации: 2017-06-06.

Method of manufacturing source/drain regions having a deep junction

Номер патента: EP1264337A1. Автор: David Donggang Wu. Владелец: Advanced Micro Devices Inc. Дата публикации: 2002-12-11.

Wafer-level stack chip package and method of manufacturing the same

Номер патента: US12033910B2. Автор: Dong Jin Kim,Se Woong Cha,Yeong Beom Ko. Владелец: Amkor Technology Singapore Holding Pte Ltd. Дата публикации: 2024-07-09.

Wafer-level stack chip package and method of manufacturing the same

Номер патента: US20240363470A1. Автор: Dong Jin Kim,Se Woong Cha,Yeong Beom Ko. Владелец: Amkor Technology Singapore Holding Pte Ltd. Дата публикации: 2024-10-31.

Method of forming low dielectric constant insulation film for semiconductor device

Номер патента: US20030124874A1. Автор: Nobuo Matsuki. Владелец: Individual. Дата публикации: 2003-07-03.

Method of forming a gate contact structure for a semiconductor device

Номер патента: US09853110B2. Автор: Xunyuan Zhang,Ruilong Xie,Sean X. Lin. Владелец: Globalfoundries Inc. Дата публикации: 2017-12-26.

Method of forming tunnel oxide film in semiconductor device

Номер патента: US20040266110A1. Автор: Sang Park,Seung Lee. Владелец: Individual. Дата публикации: 2004-12-30.

Method of producing semiconductor devices

Номер патента: US3579816A. Автор: Robert C Ingraham,Hubert J Ramsey. Владелец: Sylvania Electric Products Inc. Дата публикации: 1971-05-25.

Semiconductor device and method of forming the same

Номер патента: US09431356B2. Автор: Hsi-Yu Kuo,Ko-Yi Lee. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2016-08-30.

Method of forming metal interconnection layer of semiconductor device

Номер патента: US5670420A. Автор: Kyeong Keun Choi. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1997-09-23.

Semiconductor memory device and method of manufacturing the same

Номер патента: US7608488B2. Автор: Susumu Yoshikawa,Yasuyuki Baba. Владелец: Toshiba Corp. Дата публикации: 2009-10-27.

Method of adhering passivation layer to gold region of semiconductor device

Номер патента: JPS56140630A. Автор: Roido Harinton Aran. Владелец: TRW Inc. Дата публикации: 1981-11-04.

Methods of manufacturing phase-change memory device and semiconductor device

Номер патента: US20130102120A1. Автор: Hye Jin Seo,Keum Bum Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2013-04-25.

(AI,Ga,In)N-Based compound semiconductor and method of fabricating the same

Номер патента: EP1772909A3. Автор: Chung Hoon Lee. Владелец: Seoul Optodevice Co Ltd. Дата публикации: 2009-05-06.

Semiconductor Devices and Methods of Manufacture Thereof

Номер патента: US20150061127A1. Автор: Hung-Jui Kuo,Yu-feng Chen,Kai-Chiang Wu,Chun-Lin Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-03-05.

Gate contact for a semiconductor device and methods of fabrication thereof

Номер патента: US09343543B2. Автор: Helmut Hagleitner,Fabian Radulescu. Владелец: Cree Inc. Дата публикации: 2016-05-17.

Manufacturing method of semiconductor package

Номер патента: US20170358462A1. Автор: Kazuhiko Kitano,Seita ARAKI. Владелец: J Devices Corp. Дата публикации: 2017-12-14.

Methods of Manufacturing Semiconductor Devices

Номер патента: US20170278757A1. Автор: Andreas Martin,Uwe Hodel,Wolfgang Heinrigs. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-09-28.

High voltage semiconductor device and method of manufacturing same

Номер патента: US20240332375A1. Автор: Jong Ho Lee. Владелец: DB HiTek Co Ltd. Дата публикации: 2024-10-03.

Semiconductor devices and methods of manufacture thereof

Номер патента: US09941140B2. Автор: Yu-feng Chen,Kai-Chiang Wu,Chun-Lin Lu,Hung-Jui Kou. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2018-04-10.

Methods of manufacturing semiconductor devices

Номер патента: US09704756B2. Автор: Andreas Martin,Uwe Hodel,Wolfgang Heinrigs. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-07-11.

Semiconductor devices and methods of manufacture thereof

Номер патента: US09576874B2. Автор: Hung-Jui Kuo,Yu-feng Chen,Kai-Chiang Wu,Chun-Lin Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-02-21.

Metal field plates and methods of making the same

Номер патента: US20230411463A1. Автор: Chien-Hung Lin,Tsai-Hao Hung. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2023-12-21.

Nanometer semiconductor devices having high-quality epitaxial layer

Номер патента: US11309432B2. Автор: Huilong Zhu. Владелец: Institute Of Microelectronics Chinese /academy Of Sciences. Дата публикации: 2022-04-19.

Nanometer semiconductor devices having high-quality epitaxial layer

Номер патента: US10475935B2. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2019-11-12.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100223751B1. Автор: 정명준. Владелец: 현대전자산업주식회사. Дата публикации: 1999-10-15.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100234416B1. Автор: 김창규,최지현,백민수. Владелец: 윤종용. Дата публикации: 1999-12-15.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100226724B1. Автор: 김홍선. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method of forming a device isolation structure of semiconductor device

Номер патента: KR100214534B1. Автор: 서재범. Владелец: 엘지반도체주식회사. Дата публикации: 1999-08-02.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100239453B1. Автор: 박진원. Владелец: 현대반도체주식회사. Дата публикации: 2000-01-15.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100235972B1. Автор: 김천수. Владелец: 현대전자산업주식회사. Дата публикации: 1999-12-15.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100200731B1. Автор: 이한신,신헌종. Владелец: 윤종용. Дата публикации: 1999-06-15.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100232888B1. Автор: 김시범. Владелец: 현대전자산업주식회사. Дата публикации: 1999-12-01.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100226743B1. Автор: 김대일. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100226728B1. Автор: 고정덕. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method of forming a device isolation film of semiconductor device

Номер патента: KR100869742B1. Автор: 심천만. Владелец: 동부일렉트로닉스 주식회사. Дата публикации: 2008-11-21.

Method of forming device isolation film in semiconductor device

Номер патента: US20040266132A1. Автор: Cha Dong. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-12-30.

Method of forming a device isolation structure of semiconductor device

Номер патента: KR100214536B1. Автор: 김준용. Владелец: 엘지반도체주식회사. Дата публикации: 1999-08-02.

Method for forming narrow structures in a semiconductor device

Номер патента: WO2007037934A1. Автор: Michael Brennan,Scott Bell. Владелец: SPANSION LLC. Дата публикации: 2007-04-05.

METHOD OF FORMING FINE LINE PATTERNS OF SEMICONDUCTOR DEVICES

Номер патента: US20190057870A1. Автор: Lin Chih-Ching,Shih Shing-Yih,TSENG Tzu-Li. Владелец: . Дата публикации: 2019-02-21.

METHOD OF FORMING FINE LINE PATTERNS OF SEMICONDUCTOR DEVICES

Номер патента: US20190057871A1. Автор: Shih Shing-Yih. Владелец: . Дата публикации: 2019-02-21.

METHOD OF FORMING FINE ISLAND PATTERNS OF SEMICONDUCTOR DEVICES

Номер патента: US20190074182A1. Автор: Shih Shing-Yih,SHIH CHIANG-LIN. Владелец: . Дата публикации: 2019-03-07.

Method of forming dense hole patterns of semiconductor devices

Номер патента: US20190074187A1. Автор: Shing-Yih Shih,Jen-Jui HUANG. Владелец: Nanya Technology Corp. Дата публикации: 2019-03-07.

Methods of Forming Patterns with Multiple Layers for Semiconductor Devices

Номер патента: US20170125256A1. Автор: KIM Hong-Rae,LEE Jun-Soo,LEE Jeon-Il. Владелец: . Дата публикации: 2017-05-04.

Method of forming diffusion preventing metal layer of semiconductor device

Номер патента: KR950015651A. Автор: 선호정. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-06-17.

Method of forming multi-layered metal wiring of semiconductor device

Номер патента: KR950001952A. Автор: 박상훈,박대일. Владелец: 현대전자산업 주식회사. Дата публикации: 1995-01-04.

Method of forming contact in mutilayered structure of semiconductor device

Номер патента: KR100220297B1. Автор: 김재갑,손곤. Владелец: 현대전자산업주식회사. Дата публикации: 1999-09-15.

Method of forming multi-layered metal wiring of semiconductor device

Номер патента: KR100495857B1. Автор: 이상희,장성근. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2005-09-02.

Method of forming a field oxide film of semiconductor device

Номер патента: KR100220236B1. Автор: 최영관. Владелец: 현대전자산업주식회사. Дата публикации: 1999-09-15.

Method of forming multi-layer metal wiring of semiconductor device

Номер патента: KR100876860B1. Автор: 신강섭. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2008-12-31.

Method of forming multi-layer metal wiring of semiconductor device

Номер патента: KR950034482A. Автор: 박내학. Владелец: 금성일렉트론 주식회사. Дата публикации: 1995-12-28.

Method of forming pre-metal dielectric layer of semiconductor device

Номер патента: CN101202214A. Автор: 文相台. Владелец: Dongbu Electronics Co Ltd. Дата публикации: 2008-06-18.

Method of forming multi-layer metal wiring of semiconductor device

Номер патента: KR970003840A. Автор: 오세준. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-01-29.

Semiconductor device and method of forming the same

Номер патента: EP3800670A2. Автор: Jinxing Song,Maojie CONG,Jiagui YUAN. Владелец: Semiconductor Manufacturing Electronics Shaoxing Corp SMEC. Дата публикации: 2021-04-07.

Semiconductor device and method of forming the same

Номер патента: EP3800670A3. Автор: Jinxing Song,Maojie CONG,Jiagui YUAN. Владелец: Semiconductor Manufacturing Electronics Shaoxing Corp SMEC. Дата публикации: 2021-06-30.

Semiconductor device and method of forming same

Номер патента: US20220045181A1. Автор: Jinxing Song,Maojie CONG,Jiagui YUAN. Владелец: Semiconductor Manufacturing Electronics Shaoxing Corp SMEC. Дата публикации: 2022-02-10.

Method of forming metal line layer in semiconductor device

Номер патента: US20050014381A1. Автор: Joon Lee. Владелец: Individual. Дата публикации: 2005-01-20.

Tunable device, method of manufacture, and method of tuning an electrical device

Номер патента: US9153937B2. Автор: Matthew H. Kim. Владелец: Individual. Дата публикации: 2015-10-06.

Tunable device, method of manufacture, and method of tuning a laser

Номер патента: US8792523B1. Автор: Matthew H. Kim. Владелец: QuantTera LLC. Дата публикации: 2014-07-29.

Tunable device, method of manufacture, and method of tuning a laser

Номер патента: US8437374B1. Автор: Matthew H. Kim. Владелец: Individual. Дата публикации: 2013-05-07.

METHODS OF FORMING SEMICONDUCTOR STRUCTURES INCLUDING BODIES OF SEMICONDUCTOR MATERIAL

Номер патента: US20140206175A1. Автор: Wells David H.,Tang Sanh D.,Allen Tuman E.. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2014-07-24.

METHODS OF FORMING SEMICONDUCTOR STRUCTURES INCLUDING BODIES OF SEMICONDUCTOR MATERIAL

Номер патента: US20150137214A1. Автор: Wells David H.,Tang Sanh D.,Allen Tuman E.. Владелец: . Дата публикации: 2015-05-21.

Hard Mask Removal for Semiconductor Devices

Номер патента: US20110223753A1. Автор: Chi-Cheng Hung,Yuan-Ching Peng,Fu-Kai Yang,Sheng-Hsiung Wang. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2011-09-15.

Method of forming an isolation layer in a semiconductor device

Номер патента: US20060088977A1. Автор: Young Yang. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-04-27.

Method of forming a field oxide film in a semiconductor device

Номер патента: GB2326025A. Автор: Sang Hoon Park. Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1998-12-09.

Method for forming pattern and method for manufacturing semiconductor device

Номер патента: US20140073141A1. Автор: Shimon Maeda,Kenji Konomi. Владелец: Individual. Дата публикации: 2014-03-13.

Method of minimizing dishing during chemical mechanical polishing of semiconductor metals for making a semiconductor device

Номер патента: US6093656A. Автор: Xi-Wei Lin. Владелец: VLSI Technology Inc. Дата публикации: 2000-07-25.

Method of forming oxide film on surface of semiconductor substrate

Номер патента: KR100202003B1. Автор: 타카시 나무라,켄지 요네다,히카루 코바야시. Владелец: 히카루 코바야시. Дата публикации: 1999-06-15.

Method of isolating transistors using LOW-K dielectrics and resultant semiconductor device

Номер патента: TW395012B. Автор: Howard L Tigelaar. Владелец: Texas Instruments Inc. Дата публикации: 2000-06-21.

Method of etching porous insulating film, dual damascene process, and semiconductor device

Номер патента: TWI223341B. Автор: Koichiro Inazawa,Tomoki Suemasa,Li-Hung Chen. Владелец: Tokyo Electron Ltd. Дата публикации: 2004-11-01.

MANUFACTURING METHOD OF HIGH-DIELECTRIC-CONSTANT GATE INSULATING FILM OF SEMICONDUCTOR DEVICE

Номер патента: US20190326121A1. Автор: AOYAMA Takayuki. Владелец: . Дата публикации: 2019-10-24.

Method of chemical/mechanical polishing of the surface of semiconductor device

Номер патента: US6468911B1. Автор: Naoto Miyashita,Takeshi Nishioka. Владелец: Toshiba Corp. Дата публикации: 2002-10-22.

Manufacturing method of slurry for chemical mechanical polishing process of semiconductor device

Номер патента: KR100324311B1. Автор: 김완식. Владелец: 현대반도체 주식회사. Дата публикации: 2002-05-13.

Method of fabricating via hole for copper wiring of semiconductor device

Номер патента: KR20010109958A. Автор: 김일구,황재성. Владелец: 윤종용. Дата публикации: 2001-12-12.

Method of manufacturing an ultra narrow contact hole of semiconductor device

Номер патента: KR100273140B1. Автор: 이화성,안병태,백종태. Владелец: 정선종. Дата публикации: 2001-01-15.

Method of chemical/mechanical polishing of the surface of semiconductor device

Номер патента: US6867138B2. Автор: Naoto Miyashita,Takeshi Nishioka. Владелец: Toshiba Corp. Дата публикации: 2005-03-15.

Method of forming gate patterns for peripheral circuitry and semiconductor device formed thereby

Номер патента: KR100881130B1. Автор: 강춘수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2009-02-02.

Micro led, neural implant, and method of fabricating a micro led

Номер патента: EP4328985A1. Автор: Patrick Ruther,Eric Klein. Владелец: Albert Ludwigs Universitaet Freiburg. Дата публикации: 2024-02-28.

Micro led, neural implant, and method of fabricating a micro led

Номер патента: WO2024041966A1. Автор: Patrick Ruther,Eric Klein. Владелец: ALBERT-LUDWIGS-UNIVERSITAT FREIBURG. Дата публикации: 2024-02-29.

METHODS OF FORMING AN AIR-GAP SPACER ON A SEMICONDUCTOR DEVICE AND THE RESULTING DEVICE

Номер патента: US20180033863A1. Автор: Kim Hoon,Xie Ruilong,Park Chanro,Sung Min Gyu. Владелец: . Дата публикации: 2018-02-01.

METHOD OF FORMING A FINE PATTERN OF A SEMICONDUCTOR DEVICE

Номер патента: US20140162427A1. Автор: GWAK Byoung-Yong. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2014-06-12.

METHODS OF FORMING ISOLATED CHANNEL REGIONS FOR A FINFET SEMICONDUCTOR DEVICE AND THE RESULTING DEVICE

Номер патента: US20150270398A1. Автор: Loubet Nicolas,JACOB Ajey Poovannummoottil. Владелец: . Дата публикации: 2015-09-24.

Method of forming a barrier metal layer of a semiconductor device

Номер патента: KR970063484A. Автор: 장현진,홍택기,홍홍기. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-09-12.

Method of forming an element isolation film of a semiconductor device

Номер патента: KR980012250A. Автор: 박주석. Владелец: 엘지반도체 주식회사. Дата публикации: 1998-04-30.

Method of forming a device separation layer in the semiconductor device

Номер патента: KR100520196B1. Автор: 차재한. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-10-10.

Method of forming a device isolation film in a semiconductor device

Номер патента: KR100408864B1. Автор: 권병호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-12-06.

Method of forming an insulator between features of a semiconductor device

Номер патента: US6951817B2. Автор: Sheng-Chen Wang,Shuang-Neng Peng. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2005-10-04.

Removing method of residues as forming pattern of al-si-cu alloy

Номер патента: KR930008010B1. Автор: 안동준,설여송. Владелец: 정몽헌. Дата публикации: 1993-08-25.

Method of forming a micro pattern of a semiconductor device

Номер патента: US7892981B2. Автор: Woo-Yung JUNG. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-02-22.

Method of forming an element isolation film of a semiconductor device

Номер патента: KR970072297A. Автор: 박문한,신유균. Владелец: 김광호. Дата публикации: 1997-11-07.

Method of forming an element isolation film of a semiconductor device

Номер патента: KR980006078A. Автор: 박재범,최진혁,고요환. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-03-30.

Method of forming a micro pattern of a semiconductor device

Номер патента: TWI345813B. Автор: Woo Yung Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2011-07-21.

Method of forming an element isolation film of a semiconductor device

Номер патента: KR970077484A. Автор: 박종왕,김선래. Владелец: 김광호. Дата публикации: 1997-12-12.

Method of forming a barrier metal layer of a semiconductor device

Номер патента: KR970077522A. Автор: 안희복. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-12-12.

SEMICONDUCTOR DEVICES AND METHODS FOR FORMING PATTERNED RADIATION BLOCKING ON A SEMICONDUCTOR DEVICE

Номер патента: US20130280851A1. Автор: Borthakur Swarnal,Sulfridge Marc. Владелец: . Дата публикации: 2013-10-24.

METHOD OF FORMING A PROTECTIVE COATING FOR A PACKAGED SEMICONDUCTOR DEVICE

Номер патента: US20170057815A1. Автор: Elian Klaus,Theuss Horst. Владелец: . Дата публикации: 2017-03-02.

Method of Forming a Protective Coating for a Packaged Semiconductor Device

Номер патента: US20170101308A1. Автор: Klaus Elian,Horst Theuss. Владелец: INFINEON TECHNOLOGIES AG. Дата публикации: 2017-04-13.

METHOD OF FORMING A GATE CONTACT STRUCTURE FOR A SEMICONDUCTOR DEVICE

Номер патента: US20170125530A1. Автор: Zhang Xunyuan,Xie Ruilong,Lin Sean X.. Владелец: . Дата публикации: 2017-05-04.

SEMICONDUCTOR DEVICES AND METHODS FOR FORMING PATTERNED RADIATION BLOCKING ON A SEMICONDUCTOR DEVICE

Номер патента: US20150255502A1. Автор: Borthakur Swarnal,Sulfridge Marc. Владелец: . Дата публикации: 2015-09-10.

METHOD OF FORMING A WRAP-AROUND CONTACT ON A SEMICONDUCTOR DEVICE

Номер патента: US20160254186A1. Автор: Bergstrom Daniel,LEIB JEFFREY S,TROEGER RALPH T. Владелец: . Дата публикации: 2016-09-01.

METHOD OF FORMING A WRAP-AROUND CONTACT ON A SEMICONDUCTOR DEVICE

Номер патента: US20170309516A1. Автор: Bergstrom Daniel,LEIB Jeffrey S.,Troeger Ralph T.. Владелец: . Дата публикации: 2017-10-26.

SEMICONDUCTOR DEVICES AND METHODS FOR FORMING PATTERNED RADIATION BLOCKING ON A SEMICONDUCTOR DEVICE

Номер патента: US20170317136A1. Автор: Borthakur Swarnal,Sulfridge Marc. Владелец: . Дата публикации: 2017-11-02.

SEMICONDUCTOR DEVICES AND METHODS FOR FORMING PATTERNED RADIATION BLOCKING ON A SEMICONDUCTOR DEVICE

Номер патента: US20190355779A1. Автор: Borthakur Swarnal,Sulfridge Marc. Владелец: . Дата публикации: 2019-11-21.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100192164B1. Автор: 박상훈. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-15.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100223911B1. Автор: 정구철,염원양. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR100399913B1. Автор: 석세운. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-09-29.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR100973130B1. Автор: 김완수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2010-07-30.

Method of forming a diffusion barrier layer in a semiconductor device

Номер патента: KR100503965B1. Автор: 표성규. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-07-26.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100245084B1. Автор: 김대영,정인술. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Method of forming a gate oxide layer in a semiconductor device

Номер патента: KR100602317B1. Автор: 이경복. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-14.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100187678B1. Автор: 한충수. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-01.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100231728B1. Автор: 전하응. Владелец: 현대전자산업주식회사. Дата публикации: 1999-11-15.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100245081B1. Автор: 이승무,고재홍. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100202196B1. Автор: 이승호. Владелец: 엘지반도체주식회사. Дата публикации: 1999-06-15.

Method of forming a metal barrier film in a semiconductor device

Номер патента: KR100290467B1. Автор: 김우현,홍상기. Владелец: 박종섭. Дата публикации: 2001-08-07.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100225953B1. Автор: 이정엽,원대희. Владелец: 현대전자산업주식회사. Дата публикации: 1999-10-15.

Method of forming a inter insulating layer in a semiconductor device

Номер патента: KR101021177B1. Автор: 김동준,민우식. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-03-15.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100223266B1. Автор: 한상규. Владелец: 현대전자산업주식회사. Дата публикации: 1999-10-15.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100209217B1. Автор: 김윤기. Владелец: 현대전자산업주식회사. Дата публикации: 1999-07-15.

Method of forming an aluminum oxide film in a semiconductor device

Номер патента: KR100323874B1. Автор: 김경민,김민수,김정태,임찬,곽흥식. Владелец: 박종섭. Дата публикации: 2002-02-16.

Method of forming a high resistive region in a semiconductor device

Номер патента: KR100506192B1. Автор: 최경근. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-08-03.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR101028811B1. Автор: 류상욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-04-12.

Method of anti-static molding for circuit board of semiconductor package and metal mold thereof

Номер патента: KR100348136B1. Автор: 조재현. Владелец: 주식회사 지엔유텍. Дата публикации: 2002-08-13.

Method of forming a copper metal wiring in a semiconductor device

Номер патента: KR20010112891A. Автор: 표성규. Владелец: 박종섭. Дата публикации: 2001-12-22.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100245090B1. Автор: 김충배,박용준. Владелец: 현대전자산업주식회사. Дата публикации: 2000-03-02.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100242387B1. Автор: 이승호,정구철. Владелец: 현대반도체주식회사. Дата публикации: 2000-03-02.

Method of forming multilayered metal wire for ic and semiconductor devices therewith

Номер патента: KR0167602B1. Автор: 황준,이원건. Владелец: 김주용. Дата публикации: 1999-02-01.

Method of forming bit line contact holes in a semiconductor device with reduced photolithography process

Номер патента: KR100341663B1. Автор: 하대원. Владелец: 윤종용. Дата публикации: 2002-06-24.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR100598345B1. Автор: 류상욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-07-06.

Method of forming sog layer with ion implantation in semiconductor device

Номер патента: KR100248159B1. Автор: 임재욱. Владелец: 현대반도체주식회사. Дата публикации: 2000-03-15.

A method of diffusing an impurity into a body of semiconductor material.

Номер патента: FR94732E. Автор: Hugh Monoham Bohne,Cecil Byron Shelton Ii. Владелец: Deutsche ITT Industries GmbH. Дата публикации: 1969-10-24.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100203897B1. Автор: 김승준,박용준. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-15.

Method of forming a gate dielectric film in a semiconductor device

Номер патента: KR100358056B1. Автор: 김정호,이정엽,박대규,장세억,조흥재. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2002-10-25.

Method of forming a silicide layer and manufacturing a semiconductor device using the same

Номер патента: KR100642386B1. Автор: 황경진. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2006-11-03.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100214068B1. Автор: 정영석,김의식,박인옥. Владелец: 현대전자산업주식회사. Дата публикации: 1999-08-02.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR100518084B1. Автор: 류상욱. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2005-09-28.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100223825B1. Автор: 이승호. Владелец: 엘지반도체주식회사. Дата публикации: 1999-10-15.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100209372B1. Автор: 조병진. Владелец: 현대전자산업주식회사. Дата публикации: 1999-07-15.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100227188B1. Автор: 김현병. Владелец: 현대반도체주식회사. Дата публикации: 1999-10-15.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR100451699B1. Автор: 최재성. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2004-10-08.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100227189B1. Автор: 박건식. Владелец: 현대반도체주식회사. Дата публикации: 1999-10-15.

Method of forming dielectric film for use in a semiconductor device

Номер патента: KR100309331B1. Автор: 황철주. Владелец: 황 철 주. Дата публикации: 2001-11-07.

Method of forming a gate oxide film in a semiconductor device

Номер патента: KR100255169B1. Автор: 고석윤. Владелец: 현대전자산업주식회사. Дата публикации: 2000-05-01.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100225955B1. Автор: 조병진. Владелец: 현대전자산업주식회사. Дата публикации: 1999-10-15.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR100390941B1. Автор: 백성학. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2003-07-10.

Method of forming a field oxide film in a semiconductor device

Номер патента: GB9513227D0. Автор: . Владелец: Hyundai Electronics Industries Co Ltd. Дата публикации: 1995-09-06.

Method of forming a gate dielectric film in a semiconductor device

Номер патента: KR100604536B1. Автор: 박대규. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-24.

Method of forming a field oxide firm in a semiconductor device

Номер патента: KR100274349B1. Автор: 남기원,임태정,배영헌. Владелец: 현대전자산업주식회사. Дата публикации: 2000-12-15.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100218292B1. Автор: 전영권. Владелец: 엘지반도체주식회사. Дата публикации: 1999-09-01.

Method of forming a field oxide layer in a semiconductor device

Номер патента: KR100702769B1. Автор: 양영호. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2007-04-03.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100226478B1. Автор: 이상돈,허윤종. Владелец: 현대반도체주식회사. Дата публикации: 1999-10-15.

Method of forming contact using silicon nano-wire in semiconductor device

Номер патента: KR100558037B1. Автор: 김용수. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-03-07.

Method of forming a self aligned contact in a semiconductor device

Номер патента: KR100334572B1. Автор: 박영우,이원성. Владелец: 윤종용. Дата публикации: 2002-05-03.

Method of forming an integrated silicon and iii-n semiconductor device

Номер патента: EP3008751B1. Автор: Naveen Tipirneni,Sameer Pendharkar,Rick L. Wise. Владелец: Texas Instruments Inc. Дата публикации: 2022-07-06.

Method of forming a hard mask pattern in a semiconductor device

Номер патента: US8133818B2. Автор: Woo Yung Jung. Владелец: Hynix Semiconductor Inc. Дата публикации: 2012-03-13.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR970067768A. Автор: 박병주. Владелец: 엘지반도체 주식회사. Дата публикации: 1997-10-13.

Method of forming an element isolation region in a semiconductor device

Номер патента: KR100203911B1. Автор: 김승준,박용준. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-15.

Method of forming dielectric isolation for high density pedestal semiconductor devices

Номер патента: CA976666A. Автор: Ingrid E. Magdo,Steven Magdo. Владелец: International Business Machines Corp. Дата публикации: 1975-10-21.

Semiconductor device, method of producing the same, and electronic apparatus

Номер патента: US20230343803A1. Автор: Atsushi Fujiwara,Toshiaki Iwafuchi. Владелец: Sony Semiconductor Solutions Corp. Дата публикации: 2023-10-26.

A method of forming separated charge-holding regions in a semiconductor device

Номер патента: TW200608528A. Автор: Chia-Ta Hsieh,Wen-Ting Chu,Hung-Cheng Sung. Владелец: Taiwan Semiconductor Mfg Co Ltd. Дата публикации: 2006-03-01.

Semiconductor device and method of manufacturing the same

Номер патента: US20020036350A1. Автор: Hideo Miura,Tomio Iwasaki,Shinji Nishihara,Hiroshi Moriya,Masashi Sahara. Владелец: HITACHI LTD. Дата публикации: 2002-03-28.

Semiconductor device and method of manufacturing the same

Номер патента: US6545362B2. Автор: Hideo Miura,Tomio Iwasaki,Shinji Nishihara,Hiroshi Moriya,Masashi Sahara. Владелец: HITACHI LTD. Дата публикации: 2003-04-08.

A method of forming separated charge-holding regions in a semiconductor device

Номер патента: TWI289908B. Автор: Chia-Ta Hsieh,Wen-Ting Chu,Hung-Cheng Sung. Владелец: Taiwan Semiconductor Mfg. Дата публикации: 2007-11-11.

METHOD FOR FORMING PATTERN AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20140057441A1. Автор: HORIGUCHI Kazunori,OHASHI Takashi. Владелец: . Дата публикации: 2014-02-27.

METHOD FOR FORMING PATTERN AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер патента: US20140073141A1. Автор: KONOMI Kenji,MAEDA Shimon. Владелец: . Дата публикации: 2014-03-13.

METHOD FOR FORMING PATTERN AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Номер патента: US20150311442A1. Автор: KIHARA Naoko,SEINO Yuriko. Владелец: . Дата публикации: 2015-10-29.

Method for forming pattern and method for manufacturing semiconductor device

Номер патента: US9281480B2. Автор: Naoko Kihara,Yuriko Seino. Владелец: Toshiba Corp. Дата публикации: 2016-03-08.

Semiconductor device and method of manufacturing same

Номер патента: US20160276270A1. Автор: Yukio Maki,Toshifumi Iwasaki. Владелец: Renesas Electronics Corp. Дата публикации: 2016-09-22.

Semiconductor devices and methods of manufacture thereof

Номер патента: US9209140B2. Автор: Hung-Jui Kuo,Yu-feng Chen,Kai-Chiang Wu,Chun-Lin Lu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2015-12-08.

Semiconductor devices and methods of manufacturing the same

Номер патента: US20140091366A1. Автор: Jai-Kwang Shin,Jae-joon Oh,Woo-Chul JEON,Woong-je SUNG. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2014-04-03.

Semiconductor package and method of manufacturing the semiconductor package

Номер патента: US20240120263A1. Автор: Cheol Kim,Seokhyun Lee,Hwanyoung Choi. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-04-11.

Semiconductor Devices and Methods of Manufacture Thereof

Номер патента: US20170162541A1. Автор: Yu-feng Chen,Kai-Chiang Wu,Chun-Lin Lu,Hung-Jui Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-06-08.

Semiconductor device and method of manufacturing the same

Номер патента: US20190334036A1. Автор: Dae Hwan Chun. Владелец: Kia Motors Corp. Дата публикации: 2019-10-31.

Semiconductor Devices and Methods of Manufacture Thereof

Номер патента: US20190115321A1. Автор: Chen-Hua Yu,Ming-Fa Chen,Ching-Pin Yuan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2019-04-18.

A semiconductor device having a silicided gate electrode and method of manufacture therefor

Номер патента: WO2005084342A2. Автор: Jiong-Ping Lu. Владелец: TEXAS INSTRUMENTS INCORPORATED. Дата публикации: 2005-09-15.

method of of forming interconnection lines in a semiconductor memory device

Номер патента: KR100558493B1. Автор: 나영섭. Владелец: 삼성전자주식회사. Дата публикации: 2006-03-07.

Method of Improving Package Creepage Distance

Номер патента: US20240105447A1. Автор: Hans-Juergen Funke,Tim Böttcher,Ivan Shiu. Владелец: Nexperia BV. Дата публикации: 2024-03-28.

Nanometer semiconductor devices having high-quality epitaxial layer

Номер патента: US20200027995A1. Автор: Huilong Zhu. Владелец: Institute of Microelectronics of CAS. Дата публикации: 2020-01-23.

Semiconductor device and electrical contact

Номер патента: US11978780B2. Автор: Jan Fischer,Tim Böttcher,Olrik Schumacher. Владелец: Nexperia BV. Дата публикации: 2024-05-07.

Near-infrared photodetector semiconductor device

Номер патента: WO2019101577A1. Автор: Ingrid Jonak-Auer,Bernhard LÖFFLER,Gerald Meinhardt. Владелец: ams AG. Дата публикации: 2019-05-31.

Semiconductor device with improved junction termination extension (jte)

Номер патента: EP4415050A1. Автор: Massimo Cataldo MAZZILLO,Sönke HABENICHT,Georgio El-Zammar. Владелец: Nexperia BV. Дата публикации: 2024-08-14.

FABRICATION METHOD OF A MIXED ALLOY LEAD FRAME FOR PACKAGING POWER SEMICONDUCTOR DEVICES

Номер патента: US20130273697A1. Автор: Lu Jun,Feng Tao,Niu Zhi Qiang. Владелец: . Дата публикации: 2013-10-17.

DESCRIPTION NOVEL COMPUND, METHOD OF PRODUCING THE COMPOUND, ORGANIC SEMICONDUCTOR MATERIAL AND ORGANIC SEMICONDUCTOR DEVICE

Номер патента: US20140051865A1. Автор: Takimiya Kazuo. Владелец: . Дата публикации: 2014-02-20.

Method of Manufacturing an Insulation Layer on Silicon Carbide and Semiconductor Device

Номер патента: US20200027716A1. Автор: Komatsu Yuji. Владелец: . Дата публикации: 2020-01-23.

Method of Fabricating an Electrical Contact for Use on a Semiconductor Device

Номер патента: US20170047228A1. Автор: Sadiki Jordan. Владелец: Infineon Technologies North America Corp. Дата публикации: 2017-02-16.

Methods of fluorinating filters used in the manufacture of a semiconductor device

Номер патента: US20190105613A1. Автор: Cesar M. Garza. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2019-04-11.

METHOD OF SELECTIVELY DEPOSITING A CAPPING LAYER STRUCTURE ON A SEMICONDUCTOR DEVICE STRUCTURE

Номер патента: US20190148224A1. Автор: ISHIKAWA Dai,Kobayashi Akiko,Kuroda Aurélie. Владелец: . Дата публикации: 2019-05-16.

METHODS OF CURING A DIELECTRIC LAYER FOR MANUFACTURE OF A SEMICONDUCTOR DEVICE

Номер патента: US20160307762A1. Автор: Hyun Sang-Jin,Na Hoon-Joo,HWANG Yoon-Tae,Park Moon-Kyu,Yoon Ki-Joong. Владелец: . Дата публикации: 2016-10-20.

Method of Controlling Wafer Bow in a Type III-V Semiconductor Device

Номер патента: US20200303531A1. Автор: Kim Peter,PARK Seong-eun,Tungare Mihir,Wan Jianwei,Kannan Srinivasan. Владелец: . Дата публикации: 2020-09-24.

Method of Controlling Wafer Bow in a Type III-V Semiconductor Device

Номер патента: US20180374941A1. Автор: Kim Peter,PARK Seong-eun,Tungare Mihir,Wan Jianwei,Kannan Srinivasan. Владелец: . Дата публикации: 2018-12-27.

Method of manufacturing insulation film inter metal wire in a semiconductor device

Номер патента: KR0159016B1. Автор: 이승무. Владелец: 김주용. Дата публикации: 1999-02-01.

Formation method of silicide layer using the Excimer laser for the semiconductor devices

Номер патента: KR20230016746A. Автор: 이승희,김준업. Владелец: 주식회사 지엔테크. Дата публикации: 2023-02-03.

A method of manufacturing a capacitor having high dielectric in a semiconductor device

Номер патента: KR100600286B1. Автор: 조호진,임찬. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-07-13.

Method of fabricating a fin field effect transistor in a semiconductor device

Номер патента: US7316945B2. Автор: Jeong-Ho Park. Владелец: Dongbu HitekCo Ltd. Дата публикации: 2008-01-08.

A method of arranging a semiconductor chip on a substrate and semiconductor device mountable on a substrate

Номер патента: DE10101948B4. Автор: I-Ming Chen. Владелец: Evergrand Holdings Ltd. Дата публикации: 2008-01-10.

Method of bonding and transferring a material to form a semiconductor device

Номер патента: US6616854B2. Автор: Robert E. Jones,Sebastian Csutak. Владелец: Motorola Inc. Дата публикации: 2003-09-09.

The manufacture method of stacked grinding pad and manufacture method thereof and semiconductor device

Номер патента: CN103945984B. Автор: 数野淳. Владелец: Toyo Tire and Rubber Co Ltd. Дата публикации: 2016-05-18.

Method of achieving higher inversion layer mobility in silicon carbide semiconductor devices

Номер патента: WO2001045148A1. Автор: Dev Alok. Владелец: KONINKLIJKE PHILIPS ELECTRONICS N.V.. Дата публикации: 2001-06-21.

method of manufacturing a capacitor having high dielectric in a semiconductor device

Номер патента: KR100593136B1. Автор: 조호진. Владелец: 주식회사 하이닉스반도체. Дата публикации: 2006-06-26.

Semiconductor device

Номер патента: US20230361168A1. Автор: Minjae PARK,Sangtae Han. Владелец: Power Master Semiconductor Co Ltd. Дата публикации: 2023-11-09.

Near-infrared photodetector semiconductor device

Номер патента: US20200350447A1. Автор: Ingrid Jonak-Auer,Bernhard LÖFFLER,Gerald Meinhardt. Владелец: ams AG. Дата публикации: 2020-11-05.

Manufacturing method of semiconductor device, and semiconductor device

Номер патента: US09673073B2. Автор: Takayuki Nosaka. Владелец: Renesas Electronics Corp. Дата публикации: 2017-06-06.

Manufacturing method of semiconductor device, and semiconductor device

Номер патента: US09428342B2. Автор: Takayuki Nosaka. Владелец: Renesas Electronics Corp. Дата публикации: 2016-08-30.

Method for manufacturing semiconductor device including inline inspection

Номер патента: US09406571B2. Автор: Takuya Yoshida,Kazutoyo Takano. Владелец: Mitsubishi Electric Corp. Дата публикации: 2016-08-02.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US8928002B2. Автор: Satoshi Hatsukawa. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2015-01-06.

Semiconductor device and method of manufacturing semiconductor device

Номер патента: US20140001482A1. Автор: Satoshi Hatsukawa. Владелец: Sumitomo Electric Industries Ltd. Дата публикации: 2014-01-02.

Semiconductor laser device, optical amplifier, and method of detecting a sign of sudden failure of semiconductor laser device

Номер патента: US20150171596A1. Автор: Miki Onaka. Владелец: Fujitsu Ltd. Дата публикации: 2015-06-18.

Method of attaching long leads to terminal pins of semiconductor modules

Номер патента: US3456339A. Автор: Richard B Small. Владелец: Texas Instruments Inc. Дата публикации: 1969-07-22.

Method of making a solid oxide fuel cell with controlled porosity

Номер патента: US6051173A. Автор: Benjamin V. Fasano,Kevin M. Prettyman. Владелец: International Business Machines Corp. Дата публикации: 2000-04-18.

Method of determining ligand content in sample (versions)

Номер патента: RU2517161C2. Автор: Максим Петрович Никитин. Владелец: Максим Петрович Никитин. Дата публикации: 2014-05-27.

Method of forming a ROM cell array in a semiconductor device

Номер патента: KR20010094596A. Автор: 손영석. Владелец: 박종섭. Дата публикации: 2001-11-01.

The test method of minority carrier lifetime in a kind of semiconductor silicon material

Номер патента: CN108089109A. Автор: 周锋,闫平平. Владелец: Yutai (jiangxi) New Energy Co Ltd. Дата публикации: 2018-05-29.

Method of producing polarization-maintaining single-mode optical waveguides and preforms used therein

Номер патента: US4805986A. Автор: Herbert Weissert. Владелец: Standard Elektrik Lorenz AG. Дата публикации: 1989-02-21.

Method of manufacturing semiconductor apparatus and semiconductor apparatus

Номер патента: US20220344219A1. Автор: Tatsuro SAWADA. Владелец: Kyocera Corp. Дата публикации: 2022-10-27.

Method of forming both sided pattern of nanostructure using nanoimprint method

Номер патента: KR102128175B1. Автор: 김동주,장경수,하태권. Владелец: (주)서영. Дата публикации: 2020-06-30.

Method for forming pattern and method for manufacturing semiconductor device

Номер патента: US20100021848A1. Автор: Ikuo Yoneda,Shunko Magoshi. Владелец: Individual. Дата публикации: 2010-01-28.

Reflective mask blank, reflective mask, and method for manufacturing semiconductor device

Номер патента: US20230333459A1. Автор: Yohei IKEBE. Владелец: Hoya Corp. Дата публикации: 2023-10-19.

Method of testing for power and ground continuity of a semiconductor device

Номер патента: TW200739099A. Автор: Vivien Wong,Waikhuin Phoon,Wahyew Tan. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-10-16.

Customized dental abutements and methods of preparing or selecting the same

Номер патента: WO1999002102A1. Автор: Andrew Ziegler,Julian Osorio. Владелец: Atlantis Components, Inc.. Дата публикации: 1999-01-21.

Method of producing a high density pattern of isolated clusters

Номер патента: WO2004035855A3. Автор: Edward Sacher,De-Quan Yang,Konstantinos Piyakis. Владелец: Konstantinos Piyakis. Дата публикации: 2005-01-13.

Measurement Method of Travel Time Using Sequence Pattern of Vehicles

Номер патента: KR101035122B1. Автор: 박종헌,임중선. Владелец: (주)올웨이즈네트웍스. Дата публикации: 2011-05-19.

Method of forming patterns of semiconductor device

Номер патента: US20240194521A1. Автор: JungHan LEE,Kwanyoung Chun,Jisoo Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2024-06-13.

Method of forming a gate oxide film for a high voltage region of a flash memory device

Номер патента: US7235449B2. Автор: Eun Soo Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-06-26.

Method of forming a gate oxide film for a high voltage region of a flash memory device

Номер патента: US20060258106A1. Автор: Eun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2006-11-16.

Method of forming a gate oxide film for a high voltage region of a flash memory device

Номер патента: US20070210358A1. Автор: Eun Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2007-09-13.

Method of forming inner spacers on a nano-sheet/wire device

Номер патента: US09799748B1. Автор: Hoon Kim,Ruilong Xie,Chanro Park,Min Gyu Sung. Владелец: Globalfoundries Inc. Дата публикации: 2017-10-24.

Semiconductor device and method of forming the same

Номер патента: US20240304681A1. Автор: Chia-Ming Liu,Chi-Ching Liu,Chang-Tsung Pai,Yao-Ting Tsai. Владелец: Winbond Electronics Corp. Дата публикации: 2024-09-12.

Interconnection Wires of Semiconductor Devices

Номер патента: US20140315382A1. Автор: Chung-Ju Lee,Hsiang-Huan Lee,Sunil Kumar Singh,Hsin-Chieh Yao. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2014-10-23.

Method of manufacturing semiconductor device

Номер патента: US20040115924A1. Автор: Min Yong Lee,Yong Seok Eun. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-06-17.

Manufacturing method of semiconductor device

Номер патента: US20110183470A1. Автор: Ryosuke Watanabe. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2011-07-28.

Manufacturing method of semiconductor device

Номер патента: WO2007043285A9. Автор: Ryosuke Watanabe. Владелец: Ryosuke Watanabe. Дата публикации: 2007-06-07.

Method of forming junction of semiconductor device

Номер патента: US20090111233A1. Автор: Dong Ho Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2009-04-30.

Methods of forming a plurality of semiconductor layers using trench arrays

Номер патента: WO2001063654A2. Автор: Robert F. Davis,Kevin J. Linthicum,Thomas Gehrke. Владелец: North Carolina State University. Дата публикации: 2001-08-30.

Active structures of a semiconductor device and methods of manufacturing the same

Номер патента: US09768053B2. Автор: Dae-won Kim,Jae-Kyu Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-19.

Semiconductor device and method of forming athin wafer without a carrier

Номер патента: SG183779A1. Автор: Pandi Chelvam Marimuthu,Shuangwu Huang,Nathapong Suthiwongsunthorn. Владелец: Stats Chippac Ltd. Дата публикации: 2012-09-27.

Spacer for chips on wafer semiconductor device assemblies

Номер патента: US20240055366A1. Автор: Andrew M. Bayless,Brandon P. Wirz,Owen R. Fay. Владелец: Micron Technology Inc. Дата публикации: 2024-02-15.

Semiconductor device and manufacturing method of semiconductor device

Номер патента: US20220415712A1. Автор: Naruhisa Nagata. Владелец: Fuji Electric Co Ltd. Дата публикации: 2022-12-29.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US6608344B2. Автор: Tomohiko Higashino. Владелец: NEC Electronics Corp. Дата публикации: 2003-08-19.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US6514812B2. Автор: Tomohiko Higashino. Владелец: NEC Corp. Дата публикации: 2003-02-04.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US20010008789A1. Автор: Tomohiko Higashino. Владелец: NEC Corp. Дата публикации: 2001-07-19.

Structure and manufacturing method of semiconductor device having uneven surface at memory cell capacitor part

Номер патента: US20020038881A1. Автор: Tomohiko Higashino. Владелец: Individual. Дата публикации: 2002-04-04.

Manufacturing method of semiconductor device and semiconductor device

Номер патента: US7867890B2. Автор: Masaru Seto. Владелец: Oki Semiconductor Co Ltd. Дата публикации: 2011-01-11.

Semiconductor device structures with doped elements and methods of formation

Номер патента: US09773677B2. Автор: Shyam Surthi. Владелец: Micron Technology Inc. Дата публикации: 2017-09-26.

Semiconductor device and method of encapsulating semiconductor die

Номер патента: US09679785B2. Автор: Satyamoorthi Chinnusamy. Владелец: Semtech Corp. Дата публикации: 2017-06-13.

Method of removing sharp edges of dielectric coatings on semiconductor substrates and device produced

Номер патента: WO1996004680A1. Автор: Daniel J. Jackson. Владелец: Microchip Technology, Inc.. Дата публикации: 1996-02-15.

Semiconductor Device and Method of Forming Insulating Layers Around Semiconductor Die

Номер патента: US20180068976A1. Автор: Kevin Simpson,Satyamoorthi Chinnusamy,Mark C. Costello. Владелец: Semtech Corp. Дата публикации: 2018-03-08.

Semiconductor Device and Method of Forming Insulating Layers Around Semiconductor Die

Номер патента: US20210057378A1. Автор: Kevin Simpson,Satyamoorthi Chinnusamy,Mark C. Costello. Владелец: Semtech Corp. Дата публикации: 2021-02-25.

Semiconductor Device and Method of Forming Insulating Layers Around Semiconductor Die

Номер патента: US20190067241A1. Автор: Kevin Simpson,Satyamoorthi Chinnusamy,Mark C. Costello. Владелец: Semtech Corp. Дата публикации: 2019-02-28.

Semiconductor Device and Method of Forming Insulating Layers Around Semiconductor Die

Номер патента: US20230275065A1. Автор: Kevin Simpson,Satyamoorthi Chinnusamy,Mark C. Costello. Владелец: Semtech Corp. Дата публикации: 2023-08-31.

Semiconductor device and method of forming insulating layers around semiconductor die

Номер патента: EP3913667A1. Автор: Satyamoorthi CHINNUSAMY, Kevin SIMPSON, Mark C COSTELLO. Владелец: Semtech Corp. Дата публикации: 2021-11-24.

Method of encapsulating semiconductor devices utilizing a dispensing apparatus with rotating orifices

Номер патента: US20020058360A1. Автор: Joseph M. Brand,Scott Gooch. Владелец: Individual. Дата публикации: 2002-05-16.

Manufacturing method of semiconductor device

Номер патента: US20010053579A1. Автор: TAKESHI Toda,Yoshiro Goto. Владелец: NEC Corp. Дата публикации: 2001-12-20.

Manufacturing method of semiconductor device

Номер патента: US20160027651A1. Автор: Kentaro Saito,Yoshiyuki Kawashima,Hiraku Chakihara. Владелец: Renesas Electronics Corp. Дата публикации: 2016-01-28.

Semiconductor device and method of forming insulating layers around semiconductor die

Номер патента: US09837375B2. Автор: Kevin Simpson,Satyamoorthi Chinnusamy,Mark C. Costello. Владелец: Semtech Corp. Дата публикации: 2017-12-05.

Dual-semiconductor complementary metal-oxide-semiconductor device

Номер патента: US09627266B2. Автор: SANGHOON Lee,Effendi Leobandung,Yanning Sun,Renee T. Mo. Владелец: International Business Machines Corp. Дата публикации: 2017-04-18.

Method of forming contact hole and method of fabricating semiconductor device

Номер патента: US20060134910A1. Автор: Pin-Yao Wang,Min-San Huang,Leon Lai. Владелец: Individual. Дата публикации: 2006-06-22.

Method of production of semiconductor device

Номер патента: US20170186874A1. Автор: Tetsuya Goto,Makoto Takeshita. Владелец: Zeon Corp. Дата публикации: 2017-06-29.

Method of forming interconnectings in semiconductor devices

Номер патента: US20020090810A1. Автор: Mario Napolitano. Владелец: STMICROELECTRONICS SRL. Дата публикации: 2002-07-11.

Layout of semiconductor device, semiconductor device and method of forming the same

Номер патента: US10553577B2. Автор: Yu-Cheng Tung. Владелец: United Microelectronics Corp. Дата публикации: 2020-02-04.

Manufacturing method of semiconductor device

Номер патента: US20230146858A1. Автор: Takahiro Maruyama,Takuya Hagiwara,Takuya Maruyama. Владелец: Renesas Electronics Corp. Дата публикации: 2023-05-11.

Semiconductor device and method of forming the same

Номер патента: US20240312997A1. Автор: Chih-Hao Chang,Chun-Sheng Liang,Ta-Chun Lin. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-09-19.

Method of manufacturing semiconductor device and method of forming pattern

Номер патента: US20230307287A1. Автор: Toshiaki Komukai,Motofumi Komori. Владелец: Kioxia Corp. Дата публикации: 2023-09-28.

A method of forming dual gate oxide layers of varying thickness on a single substrate

Номер патента: EP1145307A1. Автор: Jeffrey Lutze,Emmanuel de Muizon. Владелец: Philips Semiconductors Inc. Дата публикации: 2001-10-17.

Manufacturing method of semiconductor device

Номер патента: US20030100167A1. Автор: Shu Shimizu. Владелец: Mitsubishi Electric Corp. Дата публикации: 2003-05-29.

Manufacturing method of semiconductor device

Номер патента: US20210320193A1. Автор: Shunpei Yamazaki,Naoki Okuno,Yuji EGI,Tetsuya Kakehata,Hiroki KOMAGATA. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2021-10-14.

Manufacturing method of semiconductor device

Номер патента: US09972508B2. Автор: Kei Taniguchi. Владелец: Renesas Electronics Corp. Дата публикации: 2018-05-15.

Method of forming isolation film of semiconductor device

Номер патента: US20040127035A1. Автор: Sung Lee. Владелец: Hynix Semiconductor Inc. Дата публикации: 2004-07-01.

Methods of fabricating a semiconductor device

Номер патента: US10312086B2. Автор: Kuo-Yao Chou. Владелец: Micron Technology Inc. Дата публикации: 2019-06-04.

Method of forming a semiconductor device

Номер патента: US12040218B2. Автор: Frederick Chen. Владелец: Winbond Electronics Corp. Дата публикации: 2024-07-16.

Semiconductor device, method of manufacturing semiconductor device, and antenna switch module

Номер патента: US20160293759A1. Автор: Hideo Yamagata,Yoshikazu Motoyama,Hiroki Tsunemi. Владелец: Sony Corp. Дата публикации: 2016-10-06.

Semiconductor device, method of manufacturing semiconductor device, and antenna switch module

Номер патента: US20170069586A1. Автор: Hideo Yamagata,Yoshikazu Motoyama,Hiroki Tsunemi. Владелец: Sony Corp. Дата публикации: 2017-03-09.

Production method of semiconductor device

Номер патента: US20020127880A1. Автор: Yoshiyuki Tanaka,Masaki Saito,Yoshiyuki Enomoto. Владелец: Sony Corp. Дата публикации: 2002-09-12.

Semiconductor device and method of forming a thin wafer without a carrier

Номер патента: US09842775B2. Автор: Pandi C. Marimuthu,Shuangwu Huang,Nathapong Suthiwongsunthorn. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2017-12-12.

Manufacturing method of semiconductor device

Номер патента: US09741578B2. Автор: Tohru Oka,Noriaki Murakami,Kota YASUNISHI. Владелец: Toyoda Gosei Co Ltd. Дата публикации: 2017-08-22.

Semiconductor device, method of manufacturing semiconductor device, and antenna switch module

Номер патента: US09537005B2. Автор: Hideo Yamagata,Yoshikazu Motoyama,Hiroki Tsunemi. Владелец: Sony Corp. Дата публикации: 2017-01-03.

Semiconductor device and method of forming a thin wafer without a carrier

Номер патента: US09443762B2. Автор: Pandi C. Marimuthu,Shuangwu Huang,Nathapong Suthiwongsunthorn. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2016-09-13.

Manufacturing method of semiconductor device having semiconductor layers with different thicknesses

Номер патента: US8962418B2. Автор: Yutaka Hoshino. Владелец: Renesas Electronics Corp. Дата публикации: 2015-02-24.

Epitaxial structures of semiconductor devices that are independent of local pattern density

Номер патента: US20200328306A1. Автор: Jin Wallner,Judson Robert Holt,Heng Yang. Владелец: Globalfoundries Inc. Дата публикации: 2020-10-15.

Semiconductor devices

Номер патента: US20200013870A1. Автор: Sungmin Kim,Keun Hwi Cho,Seungseok HA,Gukil AN. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2020-01-09.

Manufacturing method of semiconductor device having DRAM capacitors

Номер патента: US20020086493A1. Автор: Ken Inoue,Ryo Kubota. Владелец: NEC Corp. Дата публикации: 2002-07-04.

Method of forming fine patterns

Номер патента: US09934986B2. Автор: Bum-seok Seo,Seok-Han Park,Jeong-seop SHIM. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2018-04-03.

Gate Structure of Semiconductor Device and Method of Forming Same

Номер патента: US20240379812A1. Автор: Weng Chang,Hsin-Yi Lee,Chi On Chui. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-11-14.

Semiconductor device having stressor and method of forming the same

Номер патента: US09577097B2. Автор: Jaehoon Lee. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-02-21.

Semiconductor device and method of forming insulating layer around semiconductor die

Номер патента: US09437552B2. Автор: Xusheng Bao,Xia Feng,KANG Chen,Yaojian Lin,Jianmin Fang. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2016-09-06.

[method of forming ldd of semiconductor devices]

Номер патента: US20040229416A1. Автор: Ming-Sung Shih. Владелец: AU OPTRONICS CORP. Дата публикации: 2004-11-18.

Method of fabricating multi-fingered semiconductor devices on a common substrate

Номер патента: US20110171801A1. Автор: Akif Sultan. Владелец: Globalfoundries Inc. Дата публикации: 2011-07-14.

Semiconductor packaging structure and method of forming the same

Номер патента: US20190319010A1. Автор: Boo Yang Jung,Jason Au. Владелец: Agency for Science Technology and Research Singapore. Дата публикации: 2019-10-17.

Manufacturing method of semiconductor device

Номер патента: US09966473B2. Автор: Yuta Endo. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2018-05-08.

Manufacturing method of semiconductor device

Номер патента: US09818638B1. Автор: Yu-Yun Peng,Chung-Chi Ko,Shing-Chyang Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-11-14.

Manufacturing method of semiconductor device

Номер патента: US09659811B1. Автор: Yu-Yun Peng,Chung-Chi Ko,Shing-Chyang Pan. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2017-05-23.

Method of forming pattern and method of manufacturing integrated circuit device by using the same

Номер патента: US09659790B2. Автор: Seok-Han Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-05-23.

Method of forming a semiconductor device and structure therefor

Номер патента: US09646951B2. Автор: Sudhama C. Shastri,Richard D. Moyers. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2017-05-09.

Manufacturing method of semiconductor device

Номер патента: US09646829B2. Автор: Yuichi Sato,Junichi Koezuka,Shinji Ohno. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2017-05-09.

Method of forming semiconductor device

Номер патента: US09564520B1. Автор: Tzu-Ping Chen. Владелец: United Microelectronics Corp. Дата публикации: 2017-02-07.

Method for forming patterns of differently doped regions

Номер патента: US09496430B2. Автор: Niels Posthuma,Maria Recaman Payo. Владелец: Interuniversitair Microelektronica Centrum vzw IMEC. Дата публикации: 2016-11-15.

Manufacturing method of semiconductor device

Номер патента: US09472467B2. Автор: Shingo Masuko. Владелец: Toshiba Corp. Дата публикации: 2016-10-18.

Semiconductor structures and methods of manufacturing semiconductor structures

Номер патента: US20240047577A1. Автор: Arash Elhami Khorasani. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2024-02-08.

Semiconductor device isolation structures

Номер патента: US20130154052A1. Автор: Sukesh Sandhu. Владелец: Micron Technology Inc. Дата публикации: 2013-06-20.

Method of forming pattern and method of manufacturing integrated circuit device by using the same

Номер патента: US20160336192A1. Автор: Seok-Han Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2016-11-17.

Semiconductor Device and Method of Forming Conductive Vias with Trench in Saw Street

Номер патента: US20140217609A1. Автор: Byung Tai Do,Reza A. Pagaila. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2014-08-07.

Method of forming patterned mask layer

Номер патента: US09779942B1. Автор: Yu-Tsung Lai,Chih-Wei Kuo,Jiunn-Hsiung Liao. Владелец: United Microelectronics Corp. Дата публикации: 2017-10-03.

Method of forming pattern and method of manufacturing integrated circuit device by using the same

Номер патента: US09768032B2. Автор: Seok-Han Park. Владелец: SAMSUNG ELECTRONICS CO LTD. Дата публикации: 2017-09-19.

Semiconductor device and method of forming conductive vias with trench in saw street

Номер патента: US09601369B2. Автор: Byung Tai Do,Reza A. Pagaila. Владелец: Stats Chippac Pte Ltd. Дата публикации: 2017-03-21.

Method of manufacturing a spacer supported lateral channel FET

Номер патента: US09525044B2. Автор: Martin Vielemeyer. Владелец: INFINEON TECHNOLOGIES AUSTRIA AG. Дата публикации: 2016-12-20.

Method of forming a transistor and structure therefor

Номер патента: US09466708B2. Автор: Gordon M. Grivna,Prasad Venkatraman,Balaji Padmanabhan. Владелец: Semiconductor Components Industries LLC. Дата публикации: 2016-10-11.

Contact via structures of semiconductor devices

Номер патента: US20230395425A1. Автор: Yung Fu Chong,Rui Tze TOH,Fangyue Liu. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2023-12-07.

Manufacturing method of semiconductor device

Номер патента: US20070128833A1. Автор: Tomoyuki Aoki,Takuya Tsurume,Tomoko Tamura,Koji Dairiki. Владелец: Semiconductor Energy Laboratory Co Ltd. Дата публикации: 2007-06-07.

Cutting method and method of manufacturing semiconductor device

Номер патента: US20050012193A1. Автор: Kiyoshi Mita,Koujiro Kameyama. Владелец: Individual. Дата публикации: 2005-01-20.

Contact via structures of semiconductor devices

Номер патента: US11776844B2. Автор: Yung Fu Chong,Rui Tze TOH,Fangyue Liu. Владелец: GLOBALFOUNDRIES SINGAPORE PTE LTD. Дата публикации: 2023-10-03.

Semiconductor device and method

Номер патента: US20240290886A1. Автор: Feng-Ming Chang,Chih-Chuan Yang,Lien Jung Hung,Kian-Long Lim,Kuo-Hsiu Hsu. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-08-29.

Method of forming package structure

Номер патента: US20240347506A1. Автор: Wen-Chih Chiou,Ebin Liao,Yi-Hsiu Chen,Hong-Ye Shih,Jia-Ling Ko. Владелец: Taiwan Semiconductor Manufacturing Co TSMC Ltd. Дата публикации: 2024-10-17.

Methods of forming patterns, and apparatuses comprising FinFETs

Номер патента: US09853027B1. Автор: Werner Juengling. Владелец: Micron Technology Inc. Дата публикации: 2017-12-26.

Semiconductor device and method of forming the same

Номер патента: US09466546B2. Автор: Koichi Hatakeyama,Mitsuhisa Watanabe,Keiyo Kusanagi. Владелец: PS4 Luxco SARL. Дата публикации: 2016-10-11.

Fabrication method of semiconductor luminescent device

Номер патента: US7629187B2. Автор: Hiroyuki Sumitomo,Makoto Ueda,Satoshi Kajiyama. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2009-12-08.

Fabrication method of semiconductor luminescent device

Номер патента: US20070166961A1. Автор: Hiroyuki Sumitomo,Makoto Ueda,Satoshi Kajiyama. Владелец: Sumitomo Electric Device Innovations Inc. Дата публикации: 2007-07-19.

Method of forming a dual damascene pattern in a semiconductor device

Номер патента: KR101081851B1. Автор: 이준현. Владелец: 매그나칩 반도체 유한회사. Дата публикации: 2011-11-09.

Organic semiconductor device and manufacturing method thereof

Номер патента: EP2780409A1. Автор: Subramanian Vaidyanathan,Nikolai Kaihovirta,Tero Mustonen,Jean-Luc Budry. Владелец: BASF SE. Дата публикации: 2014-09-24.

Method of calibrating a tomographic system for testing earthen cores

Номер патента: US4962514A. Автор: Lorne A. Davis, Jr.,Timothy J. Hart. Владелец: Texaco Inc. Дата публикации: 1990-10-09.

A kind of method of the automatic vehicle-mounted pattern of startup mobile terminal

Номер патента: CN106303053A. Автор: 金鑫. Владелец: Huizhou TCL Mobile Communication Co Ltd. Дата публикации: 2017-01-04.

Method of forming pattern of semiconductor device

Номер патента: US20080227034A1. Автор: Dae Woo Kim. Владелец: Hynix Semiconductor Inc. Дата публикации: 2008-09-18.

Method of forming fine patterns

Номер патента: US20040067452A1. Автор: Yoshiki Sugeta,Toshikazu Tachikawa,Fumitake Kaneko. Владелец: Tokyo Ohka Kogyo Co Ltd. Дата публикации: 2004-04-08.

Lithographic template having a repaired gap defect method of repair and use

Номер патента: US20040023126A1. Автор: William Dauksher,David Mancini,Kevin Nordquist,Douglas Resnick. Владелец: Individual. Дата публикации: 2004-02-05.

Systems and methods of characterizing semiconductor materials

Номер патента: US12085516B2. Автор: Gordon Deans,Hamidreza GHODDAMI,Johnson Kai Chi WONG. Владелец: Aurora Solar Technologies Canada Inc. Дата публикации: 2024-09-10.

Semiconductor device and method of forming same

Номер патента: US12108587B2. Автор: Er Xuan PING,Soon Byung PARK. Владелец: Changxin Memory Technologies Inc. Дата публикации: 2024-10-01.

Method and apparatus for forming an irregular pattern of granules on an asphalt coated sheet

Номер патента: WO1998029196A1. Автор: Frank R. Wilgus,James S. Belt,Frank A. Wilgus. Владелец: Owens Corning. Дата публикации: 1998-07-09.

Method of imparting cloud form pattern to porous substrate

Номер патента: JPS5993384A. Автор: Hiroshi Tanaka,博 田中,Haruo Mizushima,水島 春男. Владелец: Meisei Chemical Works Ltd. Дата публикации: 1984-05-29.

Method of knitting rope-form patterns in tubular knitted fabric

Номер патента: EP1367161A4. Автор: Kazuyoshi Okamoto. Владелец: Shima Seiki Mfg Ltd. Дата публикации: 2004-04-28.

Method of float zone melting a doped rod of semiconductor material

Номер патента: US3173815A. Автор: Schmidt Otto. Владелец: SIEMENS AG. Дата публикации: 1965-03-16.

Method of administration for time-varying patterns of drug delivery

Номер патента: DK0546038T3. Автор: Felix Theeuwes,Atul Devdatt Ayer,Patrick S-L Wong,Anthony L Kuczynski. Владелец: Alza Corp. Дата публикации: 1994-11-28.

Method of producing decorative plates having patterns of large crystals

Номер патента: JPS5278728A. Автор: Tsuneji Takasugi,Kisuke Watanabe. Владелец: Citizen Watch Co Ltd. Дата публикации: 1977-07-02.

Method of testing for power and ground continuity of a semiconductor device

Номер патента: SG135133A1. Автор: Vivien Wong,Wai Khuin Phoon,Wah Yew Tan. Владелец: FREESCALE SEMICONDUCTOR INC. Дата публикации: 2007-09-28.

SEMICONDUCTOR DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR MODULE AND ELECTRONIC SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120001272A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

Номер патента: US20120001255A1. Автор: PARK JIN WON. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

Semiconductor Device Comprising Through Hole Vias Having a Stress Relaxation Mechanism

Номер патента: US20120001330A1. Автор: Huisinga Torsten,Grillberger Michael,Hahn Jens. Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001257A1. Автор: MURAKAWA Kouichi. Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

ULTRASOUND IMAGING SYSTEM AND METHODS OF IMAGING USING THE SAME

Номер патента: US20120004539A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001342A1. Автор: . Владелец: RENESAS ELECTRONICS CORPORATION. Дата публикации: 2012-01-05.

Method of and device for breaking scribed slices of semiconductor material

Номер патента: CA885145A. Автор: G. Pick Eric,B. Medders Jerry,Lawrence T. Loftus, Jr.. Владелец: Texas Instruments Inc. Дата публикации: 1971-11-02.

Method of forming multi-layer metal wiring of semiconductor device

Номер патента: KR970053574A. Автор: 홍영기. Владелец: 현대전자산업 주식회사. Дата публикации: 1997-07-31.

Method of knitting rope-form patterns in tubular knitted fabric

Номер патента: AU2002226720A1. Автор: Kazuyoshi Okamoto. Владелец: Shima Seiki Mfg Ltd. Дата публикации: 2002-08-19.

Mold body for use in a method of forming a tread pattern on a tire

Номер патента: CA1102977A. Автор: Charles E. Grawey,John J. Groezinger,Quentin T. Woods. Владелец: Caterpillar Tractor Co. Дата публикации: 1981-06-16.

Method of applying and diffusing impurity in manufacture of semiconductor device

Номер патента: JPS6373621A. Автор: Takashi Nakajima,貴志 中島. Владелец: Mitsubishi Electric Corp. Дата публикации: 1988-04-04.

Geothermal turbine and method of using same

Номер патента: CA1142369A. Автор: Clarence R. Possell. Владелец: Individual. Дата публикации: 1983-03-08.

The method of controlling the stability of the parameters of semiconductor devices

Номер патента: SU151403A1. Автор: А.И. Ильенков,Ф.А. Журавель. Владелец: Ф.А. Журавель. Дата публикации: 1962-11-30.

Method of forming an element isolation film of a semiconductor device

Номер патента: KR980006069A. Автор: 전하응. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-03-30.

Method of forming an element isolation film of a semiconductor device

Номер патента: KR980006071A. Автор: 정하풍. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-03-30.

Method of forming an element isolation film in a semiconductor device

Номер патента: KR100190364B1. Автор: 조승건. Владелец: 현대전자산업주식회사. Дата публикации: 1999-06-01.

Manufacturing method of support for forming patterned nonwoven fabric

Номер патента: JP4718672B2. Автор: 弘昭 荒薦. Владелец: Daiwabo Holdings Co Ltd. Дата публикации: 2011-07-06.

Method of manufacturing film for grinding back surface of semiconductor wafer

Номер патента: JP3372332B2. Автор: 健太郎 平井,片岡  真,治 成松,洋子 武内. Владелец: Mitsui Chemicals Inc. Дата публикации: 2003-02-04.

Method of producing films having metal patterns of different thicknesses

Номер патента: JPS52135843A. Автор: Takaaki Sakai,Hiroshi Narui,Teruki Shinohara,Takayasu Katou,Teruo Ogurusu. Владелец: Oike and Co Ltd. Дата публикации: 1977-11-14.

Method of making magnetic body with pattern of imbedded non-magnetic material

Номер патента: CA784187A. Автор: Wentworth Chandler,A. Kuliczkowski Joseph. Владелец: Radio Corporation of America. Дата публикации: 1968-04-30.

METHOD FOR FORMING PATTERN AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING THE SAME

Номер патента: US20130023118A1. Автор: KIM Myeong-cheol,Jeong Soo-yeon,KIM DONG-KWON,KIM Do-hyoung. Владелец: . Дата публикации: 2013-01-24.

The method of determining the specific and contact resistance of semiconductor materials

Номер патента: SU133117A1. Автор: М.А. Каганов,И.С. Лискер. Владелец: И.С. Лискер. Дата публикации: 1960-11-30.

REDUCING CONTAMINATION IN A PROCESS FLOW OF FORMING A CHANNEL SEMICONDUCTOR ALLOY IN A SEMICONDUCTOR DEVICE

Номер патента: US20120009751A1. Автор: . Владелец: . Дата публикации: 2012-01-12.

MANUFACTURING METHODS OF THIN FILM TRANSISTOR, LIQUID CRYSTAL DISPLAY DEVICE, AND SEMICONDUCTOR DEVICE

Номер патента: US20120052625A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-03-01.

SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC DEVICE INCLUDING THE SEMICONDUCTOR DEVICE

Номер патента: US20120223288A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-09-06.

Semiconductor Device, Method Of Manufacturing The Same, And Electronic Device Including The Semiconductor Device

Номер патента: US20120248414A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-10-04.

Method of manufacturing an element isolation oxide film of a semiconductor device

Номер патента: KR980006057A. Автор: 남철우. Владелец: 현대전자산업 주식회사. Дата публикации: 1998-03-30.

Method of producing film forming circuit pattern of printed board

Номер патента: JPS5780794A. Автор: Katsue Kobayashi. Владелец: Fujitsu Ltd. Дата публикации: 1982-05-20.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003829A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120000484A1. Автор: . Владелец: Denso Corporation. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OF SAME

Номер патента: US20120001321A1. Автор: IMAMURA Tomomi,Natsuda Tetsuo,Nishijo Yoshinosuke. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

ELECTRODE STRUCTURE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR DEVICE INCLUDING THE ELECTRODE STRUCTURE

Номер патента: US20120001267A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

Номер патента: US20120003795A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001247A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE & METHOD OF MAKING THEREOF

Номер патента: US20120001249A1. Автор: Alsmeier Johann,Samachisa George. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ULTRAHIGH DENSITY VERTICAL NAND MEMORY DEVICE AND METHOD OF MAKING THEREOF

Номер патента: US20120001250A1. Автор: Alsmeier Johann. Владелец: SanDisk Corporation. Дата публикации: 2012-01-05.

ALIGNMENT METHOD OF SEMICONDUCTOR OPTICAL AMPLIFIER AND LIGHT OUTPUT DEVICE

Номер патента: US20120002696A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF FORMING STRAINED EPITAXIAL CARBON-DOPED SILICON FILMS

Номер патента: US20120003825A1. Автор: Dip Anthony. Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FORMING THE SAME

Номер патента: US20120003828A1. Автор: Chang Sung-Il,Choe Byeong-In,KANG Changseok. Владелец: . Дата публикации: 2012-01-05.

FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001173A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ETCHANTS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME

Номер патента: US20120001264A1. Автор: YANG Jun-Kyu,Kim Hong-Suk,Hwang Ki-Hyun,Ahn Jae-Young,Lim Hun-Hyeong,KIM Jin-Gyun. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND SOLID-STATE IMAGE SENSOR

Номер патента: US20120001291A1. Автор: Kokumai Kazuo. Владелец: CANON KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001170A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF PRODUCING SEMICONDUCTOR DEVICE

Номер патента: US20120003841A1. Автор: . Владелец: ULVAC, INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001168A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

DRIVING METHOD OF LIQUID CRYSTAL DISPLAY DEVICE

Номер патента: US20120001881A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

ISOLATION METHOD IN SEMICONDUCTOR DEVICE

Номер патента: US20120003809A1. Автор: KIM Young Deuk. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001169A1. Автор: Yamazaki Shunpei. Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

METHODS OF FORMING NANOSCALE FLOATING GATE

Номер патента: US20120001248A1. Автор: Sandhu Gurtej S.,Ramaswamy D.V. Nirmal. Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

MOBILE TERMINAL AND METHOD OF CONTROLLING THE SAME

Номер патента: US20120003966A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Antireflective Coatings for Via Fill and Photolithography Applications and Methods of Preparation Thereof

Номер патента: US20120001135A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MEMORY CELL THAT EMPLOYS A SELECTIVELY FABRICATED CARBON NANO-TUBE REVERSIBLE RESISTANCE-SWITCHING ELEMENT AND METHODS OF FORMING THE SAME

Номер патента: US20120001150A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device Including Ultra Low-K (ULK) Metallization Stacks with Reduced Chip-Package Interaction

Номер патента: US20120001323A1. Автор: . Владелец: GLOBALFOUNDRIES INC.. Дата публикации: 2012-01-05.

WAFER STACKED PACKAGE WAVING BERTICAL HEAT EMISSION PATH AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001348A1. Автор: . Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND FABRICATION SYSTEM OF SEMICONDUCTOR DEVICE

Номер патента: US20120003761A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers

Номер патента: US20120003799A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR SUBSTRATE

Номер патента: US20120003812A1. Автор: Sasaki Makoto,NISHIGUCHI Taro,HARADA Shin,Okita Kyoko,Namikawa Yasuo. Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

WOUND DRESSING APPARATUS AND METHOD OF USE

Номер патента: US20120004628A1. Автор: . Владелец: Smith & Nephew PLC. Дата публикации: 2012-01-05.

Method of Manufacturing Vertical Pin Diodes

Номер патента: US20120001305A1. Автор: Peroni Marco,Pantellini Alessio. Владелец: SELEX SISTEMI INTEGRATI S.P.A.. Дата публикации: 2012-01-05.

SEMICONDUCTOR PACKAGE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001329A1. Автор: Kim Young Lyong,Lee Jongho,AHN EUNCHUL,Kim Hyeongseob. Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE MANUFACTURE METHOD AND SEMICONDUCTOR DEVICE

Номер патента: US20120001344A1. Автор: IDANI Naoki,TAKESAKO Satoshi. Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003570A1. Автор: Devoe Alan,Devoe Lambert. Владелец: . Дата публикации: 2012-01-05.

Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines

Номер патента: US20120003831A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF REDUCING EROSION OF A METAL CAP LAYER DURING VIA PATTERNING IN SEMICONDUCTOR DEVICES

Номер патента: US20120003832A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Method for Forming the Same

Номер патента: US20120001229A1. Автор: Zhu Huilong,Liang Qingqing. Владелец: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE WHICH A PLURALITY OF TYPES OF TRANSISTORS ARE MOUNTED

Номер патента: US20120001265A1. Автор: . Владелец: FUJITSU SEMICONDUCTOR LIMITED. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001315A1. Автор: Kikuchi Hiroshi,MOCHIZUKI Chihiro,SHIMA Yasuo,KOBAYASHI Yoichiro. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME

Номер патента: US20120001331A1. Автор: . Владелец: KABUSHI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

System For Monitoring Foreign Particles, Process Processing Apparatus And Method Of Electronic Commerce

Номер патента: US20120002196A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

METHOD OF FABRICATING AN INTEGRATED CIRCUIT DEVICE

Номер патента: US20120003806A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE HAVING REDUCED SUB-THRESHOLD LEAKAGE

Номер патента: US20120003810A1. Автор: . Владелец: MICRON TECHNOLOGY, INC.. Дата публикации: 2012-01-05.

METHOD OF OPERATING STEAM BOILER

Номер патента: US20120000434A1. Автор: Kato Junichi,NINOMIYA Takashi,NAKAJIMA Junichi,MITSUMOTO Hiroyuki,KAMINOKADO Yoshiro. Владелец: MIURA CO., LTD.. Дата публикации: 2012-01-05.

MULTI-CHIP PACKAGE WITH THERMAL FRAME AND METHOD OF ASSEMBLING

Номер патента: US20120001314A1. Автор: Schuetz Roland. Владелец: MOSAID TECHNOLOGIES INCORPORATED. Дата публикации: 2012-01-05.

ELECTRONIC DEVICE AND METHOD OF CONTROLLING THE SAME

Номер патента: US20120001490A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CONNECTING MATERIAL, METHOD FOR MANUFACTURING CONNECTING MATERIAL AND SEMICONDUCTOR DEVICE

Номер патента: US20120000965A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Package and Method of Forming Similar Structure for Top and Bottom Bonding Pads

Номер патента: US20120001326A1. Автор: . Владелец: STATS CHIPPAC, LTD.. Дата публикации: 2012-01-05.

RRAM structure and method of making the same

Номер патента: US20120001141A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND LAMP

Номер патента: US20120001220A1. Автор: . Владелец: SHOWA DENKO K.K.. Дата публикации: 2012-01-05.

METHOD OF DRIVING A LASER DIODE

Номер патента: US20120002690A1. Автор: Watanabe Hideki,Ikeda Masao,Yokoyama Hiroyuki,Miyajima Takao,Oki Tomoyuki,Kono Shunsuke. Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHOD OF DRIVING A LASER DIODE

Номер патента: US20120002695A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120003797A1. Автор: . Владелец: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.. Дата публикации: 2012-01-05.

Method of Regenerating a Polishing Pad Using a Polishing Pad Sub Plate

Номер патента: US20120003903A1. Автор: SUZUKI Eisuke,SUZUKI Tatsutoshi. Владелец: Toho Engineering. Дата публикации: 2012-01-05.

METHOD OF FORMING FINE PATTERNS USING A BLOCK COPOLYMER

Номер патента: US20120003587A1. Автор: . Владелец: Samsung Electronics Co., Ltd. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING CRYSTALLINE SILICON SOLAR CELLS USING EPITAXIAL DEPOSITION

Номер патента: US20120000511A1. Автор: . Владелец: Applied Materials, Inc.. Дата публикации: 2012-01-05.

THIN FILM TRANSISTOR AND METHOD OF FABRICATING SAME

Номер патента: US20120001190A1. Автор: Yaneda Takeshi,Aita Tetsuya,Harumoto Yoshiyuki,Inoue Tsuyoshi,OKABE Tohru. Владелец: SHARP KABUSHIKI KAISHA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

Номер патента: US20120001226A1. Автор: . Владелец: Sanken Electric Co., Ltd.. Дата публикации: 2012-01-05.

RESIN COMPOSITION FOR ENCAPSULATING SEMICONDUCTOR AND SEMICONDUCTOR DEVICE

Номер патента: US20120001350A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

MANURACTURING METHOD OF EGG WITH EDIBLE COMPOSITION

Номер патента: US20120003368A1. Автор: LEE Hye-Jin. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120003805A1. Автор: Lee Tae-Jung,PARK MYOUNG-KYU,Bang Kee-In. Владелец: . Дата публикации: 2012-01-05.

PHOTOVOLTAIC MODULE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120000506A1. Автор: Kim Dong-Jin,KANG Ku-Hyun,NAM Yuk-Hyun,Lee Jung-Eun. Владелец: . Дата публикации: 2012-01-05.

FLATBAND VOLTAGE ADJUSTMENT IN A SEMICONDUCTOR DEVICE

Номер патента: US20120001253A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

GATE STRUCTURES AND METHOD OF FABRICATING SAME

Номер патента: US20120001266A1. Автор: . Владелец: Taiwan Semiconductor Manufacturing Company, Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE

Номер патента: US20120001275A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE

Номер патента: US20120001300A1. Автор: Ito Takayuki,ISHIDA Tatsuya,Yoshino Kenichi,Naito Tatsuya. Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

Номер патента: US20120001346A1. Автор: SEO Dae-Young,KIM Doo-Kang. Владелец: . Дата публикации: 2012-01-05.

OPTICAL WAVEGUIDE DEVICE AND METHOD OF MANUFACTURING THEREOF

Номер патента: US20120002931A1. Автор: Watanabe Shinya. Владелец: NEC Corporation. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING OPTICAL WAVEGUIDE

Номер патента: US20120003393A1. Автор: . Владелец: NITTO DENKO CORPORATION. Дата публикации: 2012-01-05.

SYSTEM AND METHOD OF ILLUMINATING INTERFEROMETRIC MODULATORS USING BACKLIGHTING

Номер патента: US20120001962A1. Автор: Tung Ming-Hau,Chui Clarence. Владелец: QUALCOMM MEMS Technologies, Inc.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE EQUIPPED WITH A PLURALITY OF MEMORY BANKS AND TEST METHOD OF THE SEMICONDUCTOR DEVICE

Номер патента: US20120002464A1. Автор: MAE Kenji. Владелец: ELPIDA MEMORY, INC.. Дата публикации: 2012-01-05.

METABOLIC BIOMARKERS FOR OVARIAN CANCER AND METHODS OF USE THEREOF

Номер патента: US20120004854A1. Автор: Gray Alexander,Guan Wei,Fernandez Facundo M.,McDonald John,Zhou Manshui. Владелец: . Дата публикации: 2012-01-05.

METHOD OF DESCALING METALLIC DEVICES

Номер патента: US20120000791A1. Автор: . Владелец: ABBOTT LABORATORIES. Дата публикации: 2012-01-05.

Knuckle Formed Through The Use Of Improved External and Internal Sand Cores and Method of Manufacture

Номер патента: US20120000877A1. Автор: Smerecky Jerry R.,Nibouar F. Andrew,SMITH Douglas. Владелец: . Дата публикации: 2012-01-05.

LIGHT EMITTING DIODE HAVING A THERMAL CONDUCTIVE SUBSTRATE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003766A1. Автор: . Владелец: Seoul Opto Device Co., Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Номер патента: US20120003801A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

Antistatic laminate, optical film, polarizing plate, image display device and production method of antistatic laminate

Номер патента: US20120003467A1. Автор: . Владелец: FUJI FILM Corporation. Дата публикации: 2012-01-05.

CANCER BIOMARKERS AND METHODS OF USE THEREOF

Номер патента: US20120003639A1. Автор: KERLIKOWSKE KARLA,TLSTY THEA D.,GAUTHIER MONA L.,BERMAN HAL K.,BREMER TROY,MOLINARO ANNETTE M.. Владелец: . Дата публикации: 2012-01-05.

BATTERY TAB JOINTS AND METHODS OF MAKING

Номер патента: US20120000964A1. Автор: . Владелец: GM GLOBAL TECHNOLOGY OPERATIONS, INC.. Дата публикации: 2012-01-05.

ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME

Номер патента: US20120001182A1. Автор: Choi Jong-Hyun,Lee Dae-Woo. Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120001333A1. Автор: HWANG Chang Youn. Владелец: HYNIX SEMICONDUCTOR INC.. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING WAX-CONTAINING POLYMER PARTICLES

Номер патента: US20120003581A1. Автор: Yang Xiqiang,Bennett James R.. Владелец: . Дата публикации: 2012-01-05.

SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003808A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер патента: US20120003815A1. Автор: Lee Sang-yun. Владелец: BESANG INC.. Дата публикации: 2012-01-05.

Polymer and Method of Forming a Polymer

Номер патента: US20120004338A1. Автор: Hywel-Evans Duncan. Владелец: Adbruf Ltd.. Дата публикации: 2012-01-05.

STEERABLE SURGICAL SNARE AND METHOD OF USE

Номер патента: US20120004647A1. Автор: Cowley Collin George. Владелец: The University of Utah. Дата публикации: 2012-01-05.

Metal pallet and method of making same

Номер патента: US20120000401A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

ARRAY SUBSTRATE, METHOD OF MANUFACTURING THE ARRAY SUBSTRATE, AND DISPLAY APPARATUS INCLUDING THE ARRAY SUBSTRATE

Номер патента: US20120001191A1. Автор: . Владелец: Samsung Mobile Display Co., Ltd.. Дата публикации: 2012-01-05.

ISOLATION REGION, SEMICONDUCTOR DEVICE AND METHODS FOR FORMING THE SAME

Номер патента: US20120001198A1. Автор: Zhu Huilong,Yin Haizhou,Luo Zhijiong. Владелец: . Дата публикации: 2012-01-05.

CMOS Image Sensor Including PNP Triple Layer And Method Of Fabricating The CMOS Image Sensor

Номер патента: US20120001241A1. Автор: Park Won-je. Владелец: SAMSUNG ELECTRONICS CO., LTD.. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Номер патента: US20120001304A1. Автор: . Владелец: TOKYO ELECTRON LIMITED. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001310A1. Автор: Horiki Hiroshi,NISHINO MASANORI. Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

PACKAGE FOR SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE

Номер патента: US20120001311A1. Автор: . Владелец: Panasonic Corporation. Дата публикации: 2012-01-05.

METHOD OF MANUFACTURING A DRESSING

Номер патента: US20120001366A1. Автор: . Владелец: BOEHRINGER TECHNOLOGIES, L.P.. Дата публикации: 2012-01-05.

METHOD OF MAKING A FUEL CELL DEVICE

Номер патента: US20120003571A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

CRYSTALLINE CERIUM OXIDE AND PREPARATION METHOD OF THE SAME

Номер патента: US20120000137A1. Автор: CHOI Sang-Soon,CHO Seung-Beom,HA Hyun-Chul,KWAK Ick-Soon,CHO Jun-Yeon. Владелец: LG CHEM, LTD.. Дата публикации: 2012-01-05.

METHODS OF ARC DETECTION AND SUPPRESSION DURING RF SPUTTERING OF A THIN FILM ON A SUBSTRATE

Номер патента: US20120000765A1. Автор: Halloran Sean Timothy. Владелец: PRIMESTAR SOLAR, INC.. Дата публикации: 2012-01-05.

ELECTROLYTIC METHOD OF FUEL

Номер патента: US20120000788A1. Автор: . Владелец: SONY CORPORATION. Дата публикации: 2012-01-05.

METHOD OF NON-AQUEOUS INKJET COMPOSITE PRINTING AND INK SET

Номер патента: US20120001979A1. Автор: WATANABE Yoshifumi,YAMAMOTO Akiko. Владелец: RISO KAGAKU CORPORATION. Дата публикации: 2012-01-05.

MIST COLLECTING APPARATUS, LIQUID EJECTING APPARATUS, AND METHOD OF CONTROLLING MIST COLLECTING APPARATUS

Номер патента: US20120001985A1. Автор: . Владелец: SEIKO EPSON CORPORATION. Дата публикации: 2012-01-05.

Method and Apparatus For Inspecting Defect Of Pattern Formed On Semiconductor Device

Номер патента: US20120002861A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device and Manufacturing Method Thereof

Номер патента: US20120001332A1. Автор: TANAKA Tetsuhiro. Владелец: . Дата публикации: 2012-01-05.

IMAGE FORMING APPARATUS AND CONTROL METHOD OF IMAGE FORMING APPARATUS

Номер патента: US20120001998A1. Автор: Sasaki Hidehito. Владелец: . Дата публикации: 2012-01-05.

METHOD OF STACKING FLEXIBLE SUBSTRATE

Номер патента: US20120000602A1. Автор: KIM Yong Hae,PARK Dong Jin,Suh Kyung Soo,KIM Gi Heon,KIM Chul Am. Владелец: Electronics and Telecommunications Research Institute. Дата публикации: 2012-01-05.

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME

Номер патента: US20120001260A1. Автор: . Владелец: . Дата публикации: 2012-01-05.

Semiconductor Device

Номер патента: US20120001269A1. Автор: . Владелец: KABUSHIKI KAISHA TOSHIBA. Дата публикации: 2012-01-05.

ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND MANUFACTURING METHOD OF THE SAME

Номер патента: US20120001885A1. Автор: Kim Na-Young,Kang Ki-Nyeng,Park Yong-Sung. Владелец: . Дата публикации: 2012-01-05.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер патента: US20120003821A1. Автор: . Владелец: Sumitomo Electric Industries, Ltd.. Дата публикации: 2012-01-05.

System and Method of Making Tapered Looped Suture

Номер патента: US20120004686A1. Автор: Maiorino Nicholas,Bowns William R.. Владелец: . Дата публикации: 2012-01-05.